diff --git a/include/vectorwrapper/sequence_range.hpp b/include/vectorwrapper/sequence_range.hpp index 2c30b27..5f5ec22 100644 --- a/include/vectorwrapper/sequence_range.hpp +++ b/include/vectorwrapper/sequence_range.hpp @@ -148,8 +148,7 @@ namespace vwr { template sequence_range_iterator::sequence_range_iterator (const sequence_range_type& parSeq) : - m_current(parSeq.from()), - m_seq(parSeq) + sequence_range_iterator(parSeq.from(), parSeq) { }