diff --git a/asm/non_matchings/code/audio_load/func_800E1618.s b/asm/non_matchings/code/audio_load/func_800E1618.s deleted file mode 100644 index b035fa27ee..0000000000 --- a/asm/non_matchings/code/audio_load/func_800E1618.s +++ /dev/null @@ -1,183 +0,0 @@ -glabel func_800E1618 -/* B587B8 800E1618 27BDFFD0 */ addiu $sp, $sp, -0x30 -/* B587BC 800E161C AFB20020 */ sw $s2, 0x20($sp) -/* B587C0 800E1620 3C128017 */ lui $s2, %hi(gAudioContext) # $s2, 0x8017 -/* B587C4 800E1624 2652F180 */ addiu $s2, %lo(gAudioContext) # addiu $s2, $s2, -0xe80 -/* B587C8 800E1628 8E582894 */ lw $t8, 0x2894($s2) -/* B587CC 800E162C 86482848 */ lh $t0, 0x2848($s2) -/* B587D0 800E1630 AFB40028 */ sw $s4, 0x28($sp) -/* B587D4 800E1634 0018C980 */ sll $t9, $t8, 6 -/* B587D8 800E1638 03280019 */ multu $t9, $t0 -/* B587DC 800E163C 8E4E2874 */ lw $t6, 0x2874($s2) -/* B587E0 800E1640 3C148017 */ lui $s4, %hi(gAudioContext+0x29c0) # $s4, 0x8017 -/* B587E4 800E1644 26941B40 */ addiu $s4, %lo(gAudioContext+0x29c0) # addiu $s4, $s4, 0x1b40 -/* B587E8 800E1648 AFBF002C */ sw $ra, 0x2c($sp) -/* B587EC 800E164C AFA40030 */ sw $a0, 0x30($sp) -/* B587F0 800E1650 AFB30024 */ sw $s3, 0x24($sp) -/* B587F4 800E1654 AFB1001C */ sw $s1, 0x1c($sp) -/* B587F8 800E1658 AFB00018 */ sw $s0, 0x18($sp) -/* B587FC 800E165C 02802025 */ move $a0, $s4 -/* B58800 800E1660 00002812 */ mflo $a1 -/* B58804 800E1664 AE4E288C */ sw $t6, 0x288c($s2) -/* B58808 800E1668 0C0378F7 */ jal Audio_Alloc -/* B5880C 800E166C 00000000 */ nop -/* B58810 800E1670 8E492894 */ lw $t1, 0x2894($s2) -/* B58814 800E1674 864B2848 */ lh $t3, 0x2848($s2) -/* B58818 800E1678 AE42261C */ sw $v0, 0x261c($s2) -/* B5881C 800E167C 00095080 */ sll $t2, $t1, 2 -/* B58820 800E1680 01495023 */ subu $t2, $t2, $t1 -/* B58824 800E1684 014B0019 */ multu $t2, $t3 -/* B58828 800E1688 00008825 */ move $s1, $zero -/* B5882C 800E168C 00009812 */ mflo $s3 -/* B58830 800E1690 5A60001C */ blezl $s3, .L800E1704 -/* B58834 800E1694 8E442620 */ lw $a0, 0x2620($s2) -.L800E1698: -/* B58838 800E1698 8E4C2620 */ lw $t4, 0x2620($s2) -/* B5883C 800E169C 8E4E261C */ lw $t6, 0x261c($s2) -/* B58840 800E16A0 02802025 */ move $a0, $s4 -/* B58844 800E16A4 000C6900 */ sll $t5, $t4, 4 -/* B58848 800E16A8 8E45288C */ lw $a1, 0x288c($s2) -/* B5884C 800E16AC 0C0378AC */ jal func_800DE2B0 -/* B58850 800E16B0 01AE8021 */ addu $s0, $t5, $t6 -/* B58854 800E16B4 14400003 */ bnez $v0, .L800E16C4 -/* B58858 800E16B8 AE020000 */ sw $v0, ($s0) -/* B5885C 800E16BC 10000010 */ b .L800E1700 -/* B58860 800E16C0 00008825 */ move $s1, $zero -.L800E16C4: -/* B58864 800E16C4 8E040000 */ lw $a0, ($s0) -/* B58868 800E16C8 0C03788E */ jal func_800DE238 -/* B5886C 800E16CC 8E45288C */ lw $a1, 0x288c($s2) -/* B58870 800E16D0 8E4F288C */ lw $t7, 0x288c($s2) -/* B58874 800E16D4 AE000004 */ sw $zero, 4($s0) -/* B58878 800E16D8 A6000008 */ sh $zero, 8($s0) -/* B5887C 800E16DC A200000C */ sb $zero, 0xc($s0) -/* B58880 800E16E0 A200000E */ sb $zero, 0xe($s0) -/* B58884 800E16E4 A60F000A */ sh $t7, 0xa($s0) -/* B58888 800E16E8 8E582620 */ lw $t8, 0x2620($s2) -/* B5888C 800E16EC 26310001 */ addiu $s1, $s1, 1 -/* B58890 800E16F0 27190001 */ addiu $t9, $t8, 1 -/* B58894 800E16F4 1633FFE8 */ bne $s1, $s3, .L800E1698 -/* B58898 800E16F8 AE592620 */ sw $t9, 0x2620($s2) -/* B5889C 800E16FC 00008825 */ move $s1, $zero -.L800E1700: -/* B588A0 800E1700 8E442620 */ lw $a0, 0x2620($s2) -.L800E1704: -/* B588A4 800E1704 3C028017 */ lui $v0, %hi(gAudioContext) # $v0, 0x8017 -/* B588A8 800E1708 2442F180 */ addiu $v0, %lo(gAudioContext) # addiu $v0, $v0, -0xe80 -/* B588AC 800E170C 1080000C */ beqz $a0, .L800E1740 -/* B588B0 800E1710 00001825 */ move $v1, $zero -/* B588B4 800E1714 A051262C */ sb $s1, 0x262c($v0) -.L800E1718: -/* B588B8 800E1718 8E48261C */ lw $t0, 0x261c($s2) -/* B588BC 800E171C 24420001 */ addiu $v0, $v0, 1 -/* B588C0 800E1720 01034821 */ addu $t1, $t0, $v1 -/* B588C4 800E1724 A131000D */ sb $s1, 0xd($t1) -/* B588C8 800E1728 8E442620 */ lw $a0, 0x2620($s2) -/* B588CC 800E172C 26310001 */ addiu $s1, $s1, 1 -/* B588D0 800E1730 24630010 */ addiu $v1, $v1, 0x10 -/* B588D4 800E1734 0224082B */ sltu $at, $s1, $a0 -/* B588D8 800E1738 5420FFF7 */ bnezl $at, .L800E1718 -/* B588DC 800E173C A051262C */ sb $s1, 0x262c($v0) -.L800E1740: -/* B588E0 800E1740 28810100 */ slti $at, $a0, 0x100 -/* B588E4 800E1744 10200009 */ beqz $at, .L800E176C -/* B588E8 800E1748 00808825 */ move $s1, $a0 -/* B588EC 800E174C 3C038017 */ lui $v1, %hi(gAudioContext+0x100) # $v1, 0x8017 -/* B588F0 800E1750 2463F280 */ addiu $v1, %lo(gAudioContext+0x100) # addiu $v1, $v1, -0xd80 -/* B588F4 800E1754 02511021 */ addu $v0, $s2, $s1 -.L800E1758: -/* B588F8 800E1758 24420001 */ addiu $v0, $v0, 1 -/* B588FC 800E175C 0043082B */ sltu $at, $v0, $v1 -/* B58900 800E1760 1420FFFD */ bnez $at, .L800E1758 -/* B58904 800E1764 A040262B */ sb $zero, 0x262b($v0) -/* B58908 800E1768 8E442620 */ lw $a0, 0x2620($s2) -.L800E176C: -/* B5890C 800E176C 8E4B2894 */ lw $t3, 0x2894($s2) -/* B58910 800E1770 8E4A2878 */ lw $t2, 0x2878($s2) -/* B58914 800E1774 A240282C */ sb $zero, 0x282c($s2) -/* B58918 800E1778 A244282E */ sb $a0, 0x282e($s2) -/* B5891C 800E177C AE442624 */ sw $a0, 0x2624($s2) -/* B58920 800E1780 00008825 */ move $s1, $zero -/* B58924 800E1784 1960001D */ blez $t3, .L800E17FC -/* B58928 800E1788 AE4A288C */ sw $t2, 0x288c($s2) -.L800E178C: -/* B5892C 800E178C 8E4C2620 */ lw $t4, 0x2620($s2) -/* B58930 800E1790 8E4E261C */ lw $t6, 0x261c($s2) -/* B58934 800E1794 02802025 */ move $a0, $s4 -/* B58938 800E1798 000C6900 */ sll $t5, $t4, 4 -/* B5893C 800E179C 8E45288C */ lw $a1, 0x288c($s2) -/* B58940 800E17A0 0C0378AC */ jal func_800DE2B0 -/* B58944 800E17A4 01AE8021 */ addu $s0, $t5, $t6 -/* B58948 800E17A8 14400003 */ bnez $v0, .L800E17B8 -/* B5894C 800E17AC AE020000 */ sw $v0, ($s0) -/* B58950 800E17B0 10000012 */ b .L800E17FC -/* B58954 800E17B4 8E442620 */ lw $a0, 0x2620($s2) -.L800E17B8: -/* B58958 800E17B8 8E040000 */ lw $a0, ($s0) -/* B5895C 800E17BC 0C03788E */ jal func_800DE238 -/* B58960 800E17C0 8E45288C */ lw $a1, 0x288c($s2) -/* B58964 800E17C4 8E4F288C */ lw $t7, 0x288c($s2) -/* B58968 800E17C8 AE000004 */ sw $zero, 4($s0) -/* B5896C 800E17CC A6000008 */ sh $zero, 8($s0) -/* B58970 800E17D0 A200000C */ sb $zero, 0xc($s0) -/* B58974 800E17D4 A200000E */ sb $zero, 0xe($s0) -/* B58978 800E17D8 A60F000A */ sh $t7, 0xa($s0) -/* B5897C 800E17DC 8E482894 */ lw $t0, 0x2894($s2) -/* B58980 800E17E0 8E582620 */ lw $t8, 0x2620($s2) -/* B58984 800E17E4 26310001 */ addiu $s1, $s1, 1 -/* B58988 800E17E8 0228082A */ slt $at, $s1, $t0 -/* B5898C 800E17EC 27190001 */ addiu $t9, $t8, 1 -/* B58990 800E17F0 1420FFE6 */ bnez $at, .L800E178C -/* B58994 800E17F4 AE592620 */ sw $t9, 0x2620($s2) -/* B58998 800E17F8 03202025 */ move $a0, $t9 -.L800E17FC: -/* B5899C 800E17FC 8E512624 */ lw $s1, 0x2624($s2) -/* B589A0 800E1800 0224082B */ sltu $at, $s1, $a0 -/* B589A4 800E1804 10200012 */ beqz $at, .L800E1850 -/* B589A8 800E1808 00111900 */ sll $v1, $s1, 4 -/* B589AC 800E180C 02511021 */ addu $v0, $s2, $s1 -/* B589B0 800E1810 8E492624 */ lw $t1, 0x2624($s2) -.L800E1814: -/* B589B4 800E1814 00095023 */ negu $t2, $t1 -/* B589B8 800E1818 004A5821 */ addu $t3, $v0, $t2 -/* B589BC 800E181C A171272C */ sb $s1, 0x272c($t3) -/* B589C0 800E1820 8E4E261C */ lw $t6, 0x261c($s2) -/* B589C4 800E1824 8E4C2624 */ lw $t4, 0x2624($s2) -/* B589C8 800E1828 24420001 */ addiu $v0, $v0, 1 -/* B589CC 800E182C 01C37821 */ addu $t7, $t6, $v1 -/* B589D0 800E1830 022C6823 */ subu $t5, $s1, $t4 -/* B589D4 800E1834 A1ED000D */ sb $t5, 0xd($t7) -/* B589D8 800E1838 8E442620 */ lw $a0, 0x2620($s2) -/* B589DC 800E183C 26310001 */ addiu $s1, $s1, 1 -/* B589E0 800E1840 24630010 */ addiu $v1, $v1, 0x10 -/* B589E4 800E1844 0224082B */ sltu $at, $s1, $a0 -/* B589E8 800E1848 5420FFF2 */ bnezl $at, .L800E1814 -/* B589EC 800E184C 8E492624 */ lw $t1, 0x2624($s2) -.L800E1850: -/* B589F0 800E1850 28810100 */ slti $at, $a0, 0x100 -/* B589F4 800E1854 1020000A */ beqz $at, .L800E1880 -/* B589F8 800E1858 00808825 */ move $s1, $a0 -/* B589FC 800E185C 3C038017 */ lui $v1, %hi(gAudioContext+0x100) # $v1, 0x8017 -/* B58A00 800E1860 2463F280 */ addiu $v1, %lo(gAudioContext+0x100) # addiu $v1, $v1, -0xd80 -/* B58A04 800E1864 02511021 */ addu $v0, $s2, $s1 -.L800E1868: -/* B58A08 800E1868 8E582624 */ lw $t8, 0x2624($s2) -/* B58A0C 800E186C 24420001 */ addiu $v0, $v0, 1 -/* B58A10 800E1870 0043082B */ sltu $at, $v0, $v1 -/* B58A14 800E1874 1420FFFC */ bnez $at, .L800E1868 -/* B58A18 800E1878 A058272B */ sb $t8, 0x272b($v0) -/* B58A1C 800E187C 8E442620 */ lw $a0, 0x2620($s2) -.L800E1880: -/* B58A20 800E1880 8E592624 */ lw $t9, 0x2624($s2) -/* B58A24 800E1884 8FBF002C */ lw $ra, 0x2c($sp) -/* B58A28 800E1888 A240282D */ sb $zero, 0x282d($s2) -/* B58A2C 800E188C 00994023 */ subu $t0, $a0, $t9 -/* B58A30 800E1890 A248282F */ sb $t0, 0x282f($s2) -/* B58A34 800E1894 8FB20020 */ lw $s2, 0x20($sp) -/* B58A38 800E1898 8FB00018 */ lw $s0, 0x18($sp) -/* B58A3C 800E189C 8FB1001C */ lw $s1, 0x1c($sp) -/* B58A40 800E18A0 8FB30024 */ lw $s3, 0x24($sp) -/* B58A44 800E18A4 8FB40028 */ lw $s4, 0x28($sp) -/* B58A48 800E18A8 03E00008 */ jr $ra -/* B58A4C 800E18AC 27BD0030 */ addiu $sp, $sp, 0x30 - diff --git a/asm/non_matchings/code/audio_synthesis/func_800DC384.s b/asm/non_matchings/code/audio_synthesis/func_800DC384.s deleted file mode 100644 index ed14421cb7..0000000000 --- a/asm/non_matchings/code/audio_synthesis/func_800DC384.s +++ /dev/null @@ -1,386 +0,0 @@ -glabel func_800DC384 -/* B53524 800DC384 27BDFF08 */ addiu $sp, $sp, -0xf8 -/* B53528 800DC388 AFB5003C */ sw $s5, 0x3c($sp) -/* B5352C 800DC38C 3C158017 */ lui $s5, %hi(gAudioContext) # $s5, 0x8017 -/* B53530 800DC390 26B5F180 */ addiu $s5, %lo(gAudioContext) # addiu $s5, $s5, -0xe80 -/* B53534 800DC394 8EA82894 */ lw $t0, 0x2894($s5) -/* B53538 800DC398 82A90001 */ lb $t1, 1($s5) -/* B5353C 800DC39C AFBE0048 */ sw $fp, 0x48($sp) -/* B53540 800DC3A0 01070019 */ multu $t0, $a3 -/* B53544 800DC3A4 AFB30034 */ sw $s3, 0x34($sp) -/* B53548 800DC3A8 AFB20030 */ sw $s2, 0x30($sp) -/* B5354C 800DC3AC 00C09825 */ move $s3, $a2 -/* B53550 800DC3B0 00E0F025 */ move $fp, $a3 -/* B53554 800DC3B4 AFBF004C */ sw $ra, 0x4c($sp) -/* B53558 800DC3B8 AFB70044 */ sw $s7, 0x44($sp) -/* B5355C 800DC3BC AFB60040 */ sw $s6, 0x40($sp) -/* B53560 800DC3C0 AFB40038 */ sw $s4, 0x38($sp) -/* B53564 800DC3C4 AFB1002C */ sw $s1, 0x2c($sp) -/* B53568 800DC3C8 00005012 */ mflo $t2 -/* B5356C 800DC3CC AFB00028 */ sw $s0, 0x28($sp) -/* B53570 800DC3D0 AFA400F8 */ sw $a0, 0xf8($sp) -/* B53574 800DC3D4 AFA500FC */ sw $a1, 0xfc($sp) -/* B53578 800DC3D8 15200017 */ bnez $t1, .L800DC438 -/* B5357C 800DC3DC 00009025 */ move $s2, $zero -/* B53580 800DC3E0 19000013 */ blez $t0, .L800DC430 -/* B53584 800DC3E4 00008825 */ move $s1, $zero -/* B53588 800DC3E8 8EAE0014 */ lw $t6, 0x14($s5) -/* B5358C 800DC3EC 000A7940 */ sll $t7, $t2, 5 -/* B53590 800DC3F0 27A4009C */ addiu $a0, $sp, 0x9c -/* B53594 800DC3F4 01CF1021 */ addu $v0, $t6, $t7 -.L800DC3F8: -/* B53598 800DC3F8 8C580000 */ lw $t8, ($v0) -/* B5359C 800DC3FC 00925821 */ addu $t3, $a0, $s2 -/* B535A0 800DC400 0018CFC2 */ srl $t9, $t8, 0x1f -/* B535A4 800DC404 53200006 */ beql $t9, $zero, .L800DC420 -/* B535A8 800DC408 26310001 */ addiu $s1, $s1, 1 -/* B535AC 800DC40C 26520001 */ addiu $s2, $s2, 1 -/* B535B0 800DC410 00129400 */ sll $s2, $s2, 0x10 -/* B535B4 800DC414 00129403 */ sra $s2, $s2, 0x10 -/* B535B8 800DC418 A1710000 */ sb $s1, ($t3) -/* B535BC 800DC41C 26310001 */ addiu $s1, $s1, 1 -.L800DC420: -/* B535C0 800DC420 0228082A */ slt $at, $s1, $t0 -/* B535C4 800DC424 1420FFF4 */ bnez $at, .L800DC3F8 -/* B535C8 800DC428 24420020 */ addiu $v0, $v0, 0x20 -/* B535CC 800DC42C 00008825 */ move $s1, $zero -.L800DC430: -/* B535D0 800DC430 1000003C */ b .L800DC524 -/* B535D4 800DC434 0000A025 */ move $s4, $zero -.L800DC438: -/* B535D8 800DC438 19200020 */ blez $t1, .L800DC4BC -/* B535DC 800DC43C 0000A025 */ move $s4, $zero -/* B535E0 800DC440 27A4009C */ addiu $a0, $sp, 0x9c -.L800DC444: -/* B535E4 800DC444 19000016 */ blez $t0, .L800DC4A0 -/* B535E8 800DC448 00008825 */ move $s1, $zero -/* B535EC 800DC44C 8EAD0014 */ lw $t5, 0x14($s5) -/* B535F0 800DC450 000A6140 */ sll $t4, $t2, 5 -/* B535F4 800DC454 018D1821 */ addu $v1, $t4, $t5 -.L800DC458: -/* B535F8 800DC458 00601025 */ move $v0, $v1 -/* B535FC 800DC45C 8C4E0000 */ lw $t6, ($v0) -/* B53600 800DC460 000E7FC2 */ srl $t7, $t6, 0x1f -/* B53604 800DC464 51E0000B */ beql $t7, $zero, .L800DC494 -/* B53608 800DC468 26310001 */ addiu $s1, $s1, 1 -/* B5360C 800DC46C 90580001 */ lbu $t8, 1($v0) -/* B53610 800DC470 00925821 */ addu $t3, $a0, $s2 -/* B53614 800DC474 0018C942 */ srl $t9, $t8, 5 -/* B53618 800DC478 56990006 */ bnel $s4, $t9, .L800DC494 -/* B5361C 800DC47C 26310001 */ addiu $s1, $s1, 1 -/* B53620 800DC480 26520001 */ addiu $s2, $s2, 1 -/* B53624 800DC484 00129400 */ sll $s2, $s2, 0x10 -/* B53628 800DC488 00129403 */ sra $s2, $s2, 0x10 -/* B5362C 800DC48C A1710000 */ sb $s1, ($t3) -/* B53630 800DC490 26310001 */ addiu $s1, $s1, 1 -.L800DC494: -/* B53634 800DC494 0228082A */ slt $at, $s1, $t0 -/* B53638 800DC498 1420FFEF */ bnez $at, .L800DC458 -/* B5363C 800DC49C 24630020 */ addiu $v1, $v1, 0x20 -.L800DC4A0: -/* B53640 800DC4A0 26940001 */ addiu $s4, $s4, 1 -/* B53644 800DC4A4 0014A400 */ sll $s4, $s4, 0x10 -/* B53648 800DC4A8 0014A403 */ sra $s4, $s4, 0x10 -/* B5364C 800DC4AC 0289082A */ slt $at, $s4, $t1 -/* B53650 800DC4B0 1420FFE4 */ bnez $at, .L800DC444 -/* B53654 800DC4B4 00000000 */ nop -/* B53658 800DC4B8 0000A025 */ move $s4, $zero -.L800DC4BC: -/* B5365C 800DC4BC 27A4009C */ addiu $a0, $sp, 0x9c -/* B53660 800DC4C0 19000018 */ blez $t0, .L800DC524 -/* B53664 800DC4C4 00008825 */ move $s1, $zero -/* B53668 800DC4C8 8EAD0014 */ lw $t5, 0x14($s5) -/* B5366C 800DC4CC 000A6140 */ sll $t4, $t2, 5 -/* B53670 800DC4D0 018D1821 */ addu $v1, $t4, $t5 -.L800DC4D4: -/* B53674 800DC4D4 00601025 */ move $v0, $v1 -/* B53678 800DC4D8 8C4E0000 */ lw $t6, ($v0) -/* B5367C 800DC4DC 000E7FC2 */ srl $t7, $t6, 0x1f -/* B53680 800DC4E0 51E0000C */ beql $t7, $zero, .L800DC514 -/* B53684 800DC4E4 26310001 */ addiu $s1, $s1, 1 -/* B53688 800DC4E8 90580001 */ lbu $t8, 1($v0) -/* B5368C 800DC4EC 00925821 */ addu $t3, $a0, $s2 -/* B53690 800DC4F0 0018C942 */ srl $t9, $t8, 5 -/* B53694 800DC4F4 0329082A */ slt $at, $t9, $t1 -/* B53698 800DC4F8 54200006 */ bnezl $at, .L800DC514 -/* B5369C 800DC4FC 26310001 */ addiu $s1, $s1, 1 -/* B536A0 800DC500 26520001 */ addiu $s2, $s2, 1 -/* B536A4 800DC504 00129400 */ sll $s2, $s2, 0x10 -/* B536A8 800DC508 00129403 */ sra $s2, $s2, 0x10 -/* B536AC 800DC50C A1710000 */ sb $s1, ($t3) -/* B536B0 800DC510 26310001 */ addiu $s1, $s1, 1 -.L800DC514: -/* B536B4 800DC514 0228082A */ slt $at, $s1, $t0 -/* B536B8 800DC518 1420FFEE */ bnez $at, .L800DC4D4 -/* B536BC 800DC51C 24630020 */ addiu $v1, $v1, 0x20 -/* B536C0 800DC520 00008825 */ move $s1, $zero -.L800DC524: -/* B536C4 800DC524 02601025 */ move $v0, $s3 -/* B536C8 800DC528 3C0C0200 */ lui $t4, (0x02000940 >> 16) # lui $t4, 0x200 -/* B536CC 800DC52C 358C0940 */ ori $t4, (0x02000940 & 0xFFFF) # ori $t4, $t4, 0x940 -/* B536D0 800DC530 240D0340 */ li $t5, 832 -/* B536D4 800DC534 AC4D0004 */ sw $t5, 4($v0) -/* B536D8 800DC538 AC4C0000 */ sw $t4, ($v0) -/* B536DC 800DC53C 82AE0001 */ lb $t6, 1($s5) -/* B536E0 800DC540 26730008 */ addiu $s3, $s3, 8 -/* B536E4 800DC544 AFAA008C */ sw $t2, 0x8c($sp) -/* B536E8 800DC548 19C000AF */ blez $t6, .L800DC808 -/* B536EC 800DC54C 241700E0 */ li $s7, 224 -/* B536F0 800DC550 AFAA008C */ sw $t2, 0x8c($sp) -/* B536F4 800DC554 00147880 */ sll $t7, $s4, 2 -.L800DC558: -/* B536F8 800DC558 01F47823 */ subu $t7, $t7, $s4 -/* B536FC 800DC55C 000F7880 */ sll $t7, $t7, 2 -/* B53700 800DC560 01F47823 */ subu $t7, $t7, $s4 -/* B53704 800DC564 000F78C0 */ sll $t7, $t7, 3 -/* B53708 800DC568 01F47821 */ addu $t7, $t7, $s4 -/* B5370C 800DC56C 000F78C0 */ sll $t7, $t7, 3 -/* B53710 800DC570 02AFC021 */ addu $t8, $s5, $t7 -/* B53714 800DC574 AFB8005C */ sw $t8, 0x5c($sp) -/* B53718 800DC578 930B0019 */ lbu $t3, 0x19($t8) -/* B5371C 800DC57C 02602025 */ move $a0, $s3 -/* B53720 800DC580 8FA500FC */ lw $a1, 0xfc($sp) -/* B53724 800DC584 11600051 */ beqz $t3, .L800DC6CC -/* B53728 800DC588 AFAB0090 */ sw $t3, 0x90($sp) -/* B5372C 800DC58C 001EB400 */ sll $s6, $fp, 0x10 -/* B53730 800DC590 0016B403 */ sra $s6, $s6, 0x10 -/* B53734 800DC594 00163C00 */ sll $a3, $s6, 0x10 -/* B53738 800DC598 27100018 */ addiu $s0, $t8, 0x18 -/* B5373C 800DC59C 02003025 */ move $a2, $s0 -/* B53740 800DC5A0 0C037059 */ jal func_800DC164 -/* B53744 800DC5A4 00073C03 */ sra $a3, $a3, 0x10 -/* B53748 800DC5A8 860C000A */ lh $t4, 0xa($s0) -/* B5374C 800DC5AC 3C010C34 */ lui $at, 0xc34 -/* B53750 800DC5B0 3C0F0C80 */ lui $t7, (0x0C800940 >> 16) # lui $t7, 0xc80 -/* B53754 800DC5B4 318DFFFF */ andi $t5, $t4, 0xffff -/* B53758 800DC5B8 01A17025 */ or $t6, $t5, $at -/* B5375C 800DC5BC 35EF0940 */ ori $t7, (0x0C800940 & 0xFFFF) # ori $t7, $t7, 0x940 -/* B53760 800DC5C0 AC4F0004 */ sw $t7, 4($v0) -/* B53764 800DC5C4 AC4E0000 */ sw $t6, ($v0) -/* B53768 800DC5C8 96180014 */ lhu $t8, 0x14($s0) -/* B5376C 800DC5CC 24430008 */ addiu $v1, $v0, 8 -/* B53770 800DC5D0 00609825 */ move $s3, $v1 -/* B53774 800DC5D4 13000008 */ beqz $t8, .L800DC5F8 -/* B53778 800DC5D8 AFB8007C */ sw $t8, 0x7c($sp) -/* B5377C 800DC5DC 3C190A00 */ lui $t9, (0x0A000C80 >> 16) # lui $t9, 0xa00 -/* B53780 800DC5E0 3C0C03E0 */ lui $t4, (0x03E00340 >> 16) # lui $t4, 0x3e0 -/* B53784 800DC5E4 358C0340 */ ori $t4, (0x03E00340 & 0xFFFF) # ori $t4, $t4, 0x340 -/* B53788 800DC5E8 37390C80 */ ori $t9, (0x0A000C80 & 0xFFFF) # ori $t9, $t9, 0xc80 -/* B5378C 800DC5EC AC790000 */ sw $t9, ($v1) -/* B53790 800DC5F0 AC6C0004 */ sw $t4, 4($v1) -/* B53794 800DC5F4 24730008 */ addiu $s3, $v1, 8 -.L800DC5F8: -/* B53798 800DC5F8 960D000C */ lhu $t5, 0xc($s0) -/* B5379C 800DC5FC 34018000 */ li $at, 32768 -/* B537A0 800DC600 02601025 */ move $v0, $s3 -/* B537A4 800DC604 01A17021 */ addu $t6, $t5, $at -/* B537A8 800DC608 31CFFFFF */ andi $t7, $t6, 0xffff -/* B537AC 800DC60C 3C010C34 */ lui $at, 0xc34 -/* B537B0 800DC610 3C0B0C80 */ lui $t3, (0x0C800C80 >> 16) # lui $t3, 0xc80 -/* B537B4 800DC614 356B0C80 */ ori $t3, (0x0C800C80 & 0xFFFF) # ori $t3, $t3, 0xc80 -/* B537B8 800DC618 01E1C025 */ or $t8, $t7, $at -/* B537BC 800DC61C AC580000 */ sw $t8, ($v0) -/* B537C0 800DC620 AC4B0004 */ sw $t3, 4($v0) -/* B537C4 800DC624 86190010 */ lh $t9, 0x10($s0) -/* B537C8 800DC628 26730008 */ addiu $s3, $s3, 8 -/* B537CC 800DC62C 02602025 */ move $a0, $s3 -/* B537D0 800DC630 17200004 */ bnez $t9, .L800DC644 -/* B537D4 800DC634 00000000 */ nop -/* B537D8 800DC638 860C0012 */ lh $t4, 0x12($s0) -/* B537DC 800DC63C 51800005 */ beql $t4, $zero, .L800DC654 -/* B537E0 800DC640 8FAD007C */ lw $t5, 0x7c($sp) -.L800DC644: -/* B537E4 800DC644 0C036D20 */ jal func_800DB480 -/* B537E8 800DC648 02002825 */ move $a1, $s0 -/* B537EC 800DC64C 00409825 */ move $s3, $v0 -/* B537F0 800DC650 8FAD007C */ lw $t5, 0x7c($sp) -.L800DC654: -/* B537F4 800DC654 02602025 */ move $a0, $s3 -/* B537F8 800DC658 02002825 */ move $a1, $s0 -/* B537FC 800DC65C 11A0001B */ beqz $t5, .L800DC6CC -/* B53800 800DC660 00163400 */ sll $a2, $s6, 0x10 -/* B53804 800DC664 0C037076 */ jal func_800DC1D8 -/* B53808 800DC668 00063403 */ sra $a2, $a2, 0x10 -/* B5380C 800DC66C 820E0005 */ lb $t6, 5($s0) -/* B53810 800DC670 2401FFFF */ li $at, -1 -/* B53814 800DC674 00409825 */ move $s3, $v0 -/* B53818 800DC678 11C10005 */ beq $t6, $at, .L800DC690 -/* B5381C 800DC67C 00402025 */ move $a0, $v0 -/* B53820 800DC680 02002825 */ move $a1, $s0 -/* B53824 800DC684 0C036EBA */ jal func_800DBAE8 -/* B53828 800DC688 03C03025 */ move $a2, $fp -/* B5382C 800DC68C 00409825 */ move $s3, $v0 -.L800DC690: -/* B53830 800DC690 00163C00 */ sll $a3, $s6, 0x10 -/* B53834 800DC694 00073C03 */ sra $a3, $a3, 0x10 -/* B53838 800DC698 02602025 */ move $a0, $s3 -/* B5383C 800DC69C 8FA500FC */ lw $a1, 0xfc($sp) -/* B53840 800DC6A0 0C037049 */ jal func_800DC124 -/* B53844 800DC6A4 02003025 */ move $a2, $s0 -/* B53848 800DC6A8 860F0016 */ lh $t7, 0x16($s0) -/* B5384C 800DC6AC 3C010C34 */ lui $at, 0xc34 -/* B53850 800DC6B0 3C1903E0 */ lui $t9, (0x03E00C80 >> 16) # lui $t9, 0x3e0 -/* B53854 800DC6B4 31F8FFFF */ andi $t8, $t7, 0xffff -/* B53858 800DC6B8 03015825 */ or $t3, $t8, $at -/* B5385C 800DC6BC 37390C80 */ ori $t9, (0x03E00C80 & 0xFFFF) # ori $t9, $t9, 0xc80 -/* B53860 800DC6C0 AC590004 */ sw $t9, 4($v0) -/* B53864 800DC6C4 AC4B0000 */ sw $t3, ($v0) -/* B53868 800DC6C8 24530008 */ addiu $s3, $v0, 8 -.L800DC6CC: -/* B5386C 800DC6CC 0232082A */ slt $at, $s1, $s2 -/* B53870 800DC6D0 1020001D */ beqz $at, .L800DC748 -/* B53874 800DC6D4 27AC009C */ addiu $t4, $sp, 0x9c -/* B53878 800DC6D8 022C8021 */ addu $s0, $s1, $t4 -.L800DC6DC: -/* B5387C 800DC6DC 92020000 */ lbu $v0, ($s0) -/* B53880 800DC6E0 8FAD008C */ lw $t5, 0x8c($sp) -/* B53884 800DC6E4 8EB80014 */ lw $t8, 0x14($s5) -/* B53888 800DC6E8 00402025 */ move $a0, $v0 -/* B5388C 800DC6EC 004D7021 */ addu $t6, $v0, $t5 -/* B53890 800DC6F0 000E7940 */ sll $t7, $t6, 5 -/* B53894 800DC6F4 01F82821 */ addu $a1, $t7, $t8 -/* B53898 800DC6F8 90AB0001 */ lbu $t3, 1($a1) -/* B5389C 800DC6FC 000BC942 */ srl $t9, $t3, 5 -/* B538A0 800DC700 56990012 */ bnel $s4, $t9, .L800DC74C -/* B538A4 800DC704 8FAF0090 */ lw $t7, 0x90($sp) -/* B538A8 800DC708 00570019 */ multu $v0, $s7 -/* B538AC 800DC70C 8EAD352C */ lw $t5, 0x352c($s5) -/* B538B0 800DC710 8FAE00FC */ lw $t6, 0xfc($sp) -/* B538B4 800DC714 8FA700F8 */ lw $a3, 0xf8($sp) -/* B538B8 800DC718 AFB30014 */ sw $s3, 0x14($sp) -/* B538BC 800DC71C AFBE0018 */ sw $fp, 0x18($sp) -/* B538C0 800DC720 AFAE0010 */ sw $t6, 0x10($sp) -/* B538C4 800DC724 00006012 */ mflo $t4 -/* B538C8 800DC728 018D3021 */ addu $a2, $t4, $t5 -/* B538CC 800DC72C 0C037244 */ jal func_800DC910 -/* B538D0 800DC730 24C60010 */ addiu $a2, $a2, 0x10 -/* B538D4 800DC734 26310001 */ addiu $s1, $s1, 1 -/* B538D8 800DC738 0232082A */ slt $at, $s1, $s2 -/* B538DC 800DC73C 00409825 */ move $s3, $v0 -/* B538E0 800DC740 1420FFE6 */ bnez $at, .L800DC6DC -/* B538E4 800DC744 26100001 */ addiu $s0, $s0, 1 -.L800DC748: -/* B538E8 800DC748 8FAF0090 */ lw $t7, 0x90($sp) -.L800DC74C: -/* B538EC 800DC74C 8FB0005C */ lw $s0, 0x5c($sp) -/* B538F0 800DC750 51E00027 */ beql $t7, $zero, .L800DC7F0 -/* B538F4 800DC754 82AD0001 */ lb $t5, 1($s5) -/* B538F8 800DC758 8E180288 */ lw $t8, 0x288($s0) -/* B538FC 800DC75C 001EB400 */ sll $s6, $fp, 0x10 -/* B53900 800DC760 0016B403 */ sra $s6, $s6, 0x10 -/* B53904 800DC764 17000004 */ bnez $t8, .L800DC778 -/* B53908 800DC768 26100018 */ addiu $s0, $s0, 0x18 -/* B5390C 800DC76C 8E0B0274 */ lw $t3, 0x274($s0) -/* B53910 800DC770 51600008 */ beql $t3, $zero, .L800DC794 -/* B53914 800DC774 8FB9007C */ lw $t9, 0x7c($sp) -.L800DC778: -/* B53918 800DC778 8FA500FC */ lw $a1, 0xfc($sp) -/* B5391C 800DC77C 02602025 */ move $a0, $s3 -/* B53920 800DC780 02003025 */ move $a2, $s0 -/* B53924 800DC784 0C036E90 */ jal func_800DBA40 -/* B53928 800DC788 00052840 */ sll $a1, $a1, 1 -/* B5392C 800DC78C 00409825 */ move $s3, $v0 -/* B53930 800DC790 8FB9007C */ lw $t9, 0x7c($sp) -.L800DC794: -/* B53934 800DC794 02602025 */ move $a0, $s3 -/* B53938 800DC798 02002825 */ move $a1, $s0 -/* B5393C 800DC79C 13200008 */ beqz $t9, .L800DC7C0 -/* B53940 800DC7A0 00163400 */ sll $a2, $s6, 0x10 -/* B53944 800DC7A4 00163400 */ sll $a2, $s6, 0x10 -/* B53948 800DC7A8 00063403 */ sra $a2, $a2, 0x10 -/* B5394C 800DC7AC 02602025 */ move $a0, $s3 -/* B53950 800DC7B0 0C0370B7 */ jal func_800DC2DC -/* B53954 800DC7B4 02002825 */ move $a1, $s0 -/* B53958 800DC7B8 1000000C */ b .L800DC7EC -/* B5395C 800DC7BC 00409825 */ move $s3, $v0 -.L800DC7C0: -/* B53960 800DC7C0 0C037076 */ jal func_800DC1D8 -/* B53964 800DC7C4 00063403 */ sra $a2, $a2, 0x10 -/* B53968 800DC7C8 820C0005 */ lb $t4, 5($s0) -/* B5396C 800DC7CC 2401FFFF */ li $at, -1 -/* B53970 800DC7D0 00409825 */ move $s3, $v0 -/* B53974 800DC7D4 11810005 */ beq $t4, $at, .L800DC7EC -/* B53978 800DC7D8 00402025 */ move $a0, $v0 -/* B5397C 800DC7DC 02002825 */ move $a1, $s0 -/* B53980 800DC7E0 0C036EBA */ jal func_800DBAE8 -/* B53984 800DC7E4 03C03025 */ move $a2, $fp -/* B53988 800DC7E8 00409825 */ move $s3, $v0 -.L800DC7EC: -/* B5398C 800DC7EC 82AD0001 */ lb $t5, 1($s5) -.L800DC7F0: -/* B53990 800DC7F0 26940001 */ addiu $s4, $s4, 1 -/* B53994 800DC7F4 0014A400 */ sll $s4, $s4, 0x10 -/* B53998 800DC7F8 0014A403 */ sra $s4, $s4, 0x10 -/* B5399C 800DC7FC 028D082A */ slt $at, $s4, $t5 -/* B539A0 800DC800 5420FF55 */ bnezl $at, .L800DC558 -/* B539A4 800DC804 00147880 */ sll $t7, $s4, 2 -.L800DC808: -/* B539A8 800DC808 8FB600FC */ lw $s6, 0xfc($sp) -/* B539AC 800DC80C 0232082A */ slt $at, $s1, $s2 -/* B539B0 800DC810 241700E0 */ li $s7, 224 -/* B539B4 800DC814 1020001A */ beqz $at, .L800DC880 -/* B539B8 800DC818 0016B040 */ sll $s6, $s6, 1 -/* B539BC 800DC81C 27AE009C */ addiu $t6, $sp, 0x9c -/* B539C0 800DC820 022E8021 */ addu $s0, $s1, $t6 -/* B539C4 800DC824 024EA021 */ addu $s4, $s2, $t6 -.L800DC828: -/* B539C8 800DC828 92020000 */ lbu $v0, ($s0) -/* B539CC 800DC82C 8FAF008C */ lw $t7, 0x8c($sp) -/* B539D0 800DC830 8EAD352C */ lw $t5, 0x352c($s5) -/* B539D4 800DC834 00570019 */ multu $v0, $s7 -/* B539D8 800DC838 8EB90014 */ lw $t9, 0x14($s5) -/* B539DC 800DC83C 8FAE00FC */ lw $t6, 0xfc($sp) -/* B539E0 800DC840 004FC021 */ addu $t8, $v0, $t7 -/* B539E4 800DC844 00185940 */ sll $t3, $t8, 5 -/* B539E8 800DC848 AFBE0018 */ sw $fp, 0x18($sp) -/* B539EC 800DC84C AFB30014 */ sw $s3, 0x14($sp) -/* B539F0 800DC850 8FA700F8 */ lw $a3, 0xf8($sp) -/* B539F4 800DC854 00402025 */ move $a0, $v0 -/* B539F8 800DC858 01792821 */ addu $a1, $t3, $t9 -/* B539FC 800DC85C 00006012 */ mflo $t4 -/* B53A00 800DC860 018D3021 */ addu $a2, $t4, $t5 -/* B53A04 800DC864 24C60010 */ addiu $a2, $a2, 0x10 -/* B53A08 800DC868 0C037244 */ jal func_800DC910 -/* B53A0C 800DC86C AFAE0010 */ sw $t6, 0x10($sp) -/* B53A10 800DC870 26100001 */ addiu $s0, $s0, 1 -/* B53A14 800DC874 0214082B */ sltu $at, $s0, $s4 -/* B53A18 800DC878 1420FFEB */ bnez $at, .L800DC828 -/* B53A1C 800DC87C 00409825 */ move $s3, $v0 -.L800DC880: -/* B53A20 800DC880 00167903 */ sra $t7, $s6, 4 -/* B53A24 800DC884 31F800FF */ andi $t8, $t7, 0xff -/* B53A28 800DC888 00185C00 */ sll $t3, $t8, 0x10 -/* B53A2C 800DC88C 3C010D00 */ lui $at, 0xd00 -/* B53A30 800DC890 0161C825 */ or $t9, $t3, $at -/* B53A34 800DC894 00167040 */ sll $t6, $s6, 1 -/* B53A38 800DC898 000E7903 */ sra $t7, $t6, 4 -/* B53A3C 800DC89C 372C03C0 */ ori $t4, $t9, 0x3c0 -/* B53A40 800DC8A0 02601825 */ move $v1, $s3 -/* B53A44 800DC8A4 31F800FF */ andi $t8, $t7, 0xff -/* B53A48 800DC8A8 3C0D0940 */ lui $t5, (0x09400AE0 >> 16) # lui $t5, 0x940 -/* B53A4C 800DC8AC 00185C00 */ sll $t3, $t8, 0x10 -/* B53A50 800DC8B0 3C011500 */ lui $at, 0x1500 -/* B53A54 800DC8B4 35AD0AE0 */ ori $t5, (0x09400AE0 & 0xFFFF) # ori $t5, $t5, 0xae0 -/* B53A58 800DC8B8 AC6C0000 */ sw $t4, ($v1) -/* B53A5C 800DC8BC 26730008 */ addiu $s3, $s3, 8 -/* B53A60 800DC8C0 0161C825 */ or $t9, $t3, $at -/* B53A64 800DC8C4 AC6D0004 */ sw $t5, 4($v1) -/* B53A68 800DC8C8 372C03C0 */ ori $t4, $t9, 0x3c0 -/* B53A6C 800DC8CC 02602025 */ move $a0, $s3 -/* B53A70 800DC8D0 AC8C0000 */ sw $t4, ($a0) -/* B53A74 800DC8D4 8FAD00F8 */ lw $t5, 0xf8($sp) -/* B53A78 800DC8D8 26620008 */ addiu $v0, $s3, 8 -/* B53A7C 800DC8DC AC8D0004 */ sw $t5, 4($a0) -/* B53A80 800DC8E0 8FBF004C */ lw $ra, 0x4c($sp) -/* B53A84 800DC8E4 8FBE0048 */ lw $fp, 0x48($sp) -/* B53A88 800DC8E8 8FB70044 */ lw $s7, 0x44($sp) -/* B53A8C 800DC8EC 8FB60040 */ lw $s6, 0x40($sp) -/* B53A90 800DC8F0 8FB5003C */ lw $s5, 0x3c($sp) -/* B53A94 800DC8F4 8FB40038 */ lw $s4, 0x38($sp) -/* B53A98 800DC8F8 8FB30034 */ lw $s3, 0x34($sp) -/* B53A9C 800DC8FC 8FB20030 */ lw $s2, 0x30($sp) -/* B53AA0 800DC900 8FB1002C */ lw $s1, 0x2c($sp) -/* B53AA4 800DC904 8FB00028 */ lw $s0, 0x28($sp) -/* B53AA8 800DC908 03E00008 */ jr $ra -/* B53AAC 800DC90C 27BD00F8 */ addiu $sp, $sp, 0xf8 - diff --git a/asm/non_matchings/code/code_800E4FE0/func_800E5000.s b/asm/non_matchings/code/code_800E4FE0/func_800E5000.s index 39ba6892ac..53971ce828 100644 --- a/asm/non_matchings/code/code_800E4FE0/func_800E5000.s +++ b/asm/non_matchings/code/code_800E4FE0/func_800E5000.s @@ -53,9 +53,9 @@ glabel func_800E5000 /* B5C25C 800E50BC 24990001 */ addiu $t9, $a0, 1 .L800E50C0: /* B5C260 800E50C0 14790003 */ bne $v1, $t9, .L800E50D0 -/* B5C264 800E50C4 3C028013 */ lui $v0, %hi(D_801304E4) # $v0, 0x8013 +/* B5C264 800E50C4 3C028013 */ lui $v0, %hi(sWaitingAudioTask) # $v0, 0x8013 /* B5C268 800E50C8 10000128 */ b .L800E556C -/* B5C26C 800E50CC 8C4204E4 */ lw $v0, %lo(D_801304E4)($v0) +/* B5C26C 800E50CC 8C4204E4 */ lw $v0, %lo(sWaitingAudioTask)($v0) .L800E50D0: /* B5C270 800E50D0 10000126 */ b .L800E556C /* B5C274 800E50D4 00001025 */ move $v0, $zero @@ -199,8 +199,8 @@ glabel func_800E5000 /* B5C464 800E52C4 0C000C18 */ jal osSendMesg /* B5C468 800E52C8 92453519 */ lbu $a1, 0x3519($s2) .L800E52CC: -/* B5C46C 800E52CC 3C018013 */ lui $at, %hi(D_801304E4) # $at, 0x8013 -/* B5C470 800E52D0 AC2004E4 */ sw $zero, %lo(D_801304E4)($at) +/* B5C46C 800E52CC 3C018013 */ lui $at, %hi(sWaitingAudioTask) # $at, 0x8013 +/* B5C470 800E52D0 AC2004E4 */ sw $zero, %lo(sWaitingAudioTask)($at) /* B5C474 800E52D4 100000A5 */ b .L800E556C /* B5C478 800E52D8 00001025 */ move $v0, $zero /* B5C47C 800E52DC 8E4F2984 */ lw $t7, 0x2984($s2) @@ -309,10 +309,10 @@ glabel func_800E5000 /* B5C5F8 800E5458 3C0F800E */ lui $t7, %hi(func_800E4FE0) # $t7, 0x800e /* B5C5FC 800E545C 25EF4FE0 */ addiu $t7, %lo(func_800E4FE0) # addiu $t7, $t7, 0x4fe0 /* B5C600 800E5460 3C018013 */ lui $at, %hi(gWaveSamples+0x20) # $at, 0x8013 -/* B5C604 800E5464 3C068015 */ lui $a2, %hi(D_80155C70) # $a2, 0x8015 -/* B5C608 800E5468 3C0A8015 */ lui $t2, %hi(D_80155F50) # $t2, 0x8015 -/* B5C60C 800E546C 24C65C70 */ addiu $a2, %lo(D_80155C70) # addiu $a2, $a2, 0x5c70 -/* B5C610 800E5470 254A5F50 */ addiu $t2, %lo(D_80155F50) # addiu $t2, $t2, 0x5f50 +/* B5C604 800E5464 3C068015 */ lui $a2, %hi(rspAspMainDataStart) # $a2, 0x8015 +/* B5C608 800E5468 3C0A8015 */ lui $t2, %hi(rspAspMainDataEnd) # $t2, 0x8015 +/* B5C60C 800E546C 24C65C70 */ addiu $a2, %lo(rspAspMainDataStart) # addiu $a2, $a2, 0x5c70 +/* B5C610 800E5470 254A5F50 */ addiu $t2, %lo(rspAspMainDataEnd) # addiu $t2, $t2, 0x5f50 /* B5C614 800E5474 3C048011 */ lui $a0, %hi(D_801120C0) # $a0, 0x8011 /* B5C618 800E5478 00006012 */ mflo $t4 /* B5C61C 800E547C AE4C297C */ sw $t4, 0x297c($s2) @@ -352,7 +352,7 @@ glabel func_800E5000 /* B5C6A4 800E5504 AC600028 */ sw $zero, 0x28($v1) /* B5C6A8 800E5508 AC60002C */ sw $zero, 0x2c($v1) /* B5C6AC 800E550C 8F1928AC */ lw $t9, 0x28ac($t8) -/* B5C6B0 800E5510 3C0B8013 */ lui $t3, %hi(D_801304E0) # $t3, 0x8013 +/* B5C6B0 800E5510 3C0B8013 */ lui $t3, %hi(sMaxAbiCmdCnt) # $t3, 0x8013 /* B5C6B4 800E5514 AC790030 */ sw $t9, 0x30($v1) /* B5C6B8 800E5518 8FA90068 */ lw $t1, 0x68($sp) /* B5C6BC 800E551C AC600038 */ sw $zero, 0x38($v1) @@ -360,11 +360,11 @@ glabel func_800E5000 /* B5C6C4 800E5524 000950C0 */ sll $t2, $t1, 3 /* B5C6C8 800E5528 AC6A0034 */ sw $t2, 0x34($v1) /* B5C6CC 800E552C 8FAC0068 */ lw $t4, 0x68($sp) -/* B5C6D0 800E5530 8D6B04E0 */ lw $t3, %lo(D_801304E0)($t3) +/* B5C6D0 800E5530 8D6B04E0 */ lw $t3, %lo(sMaxAbiCmdCnt)($t3) /* B5C6D4 800E5534 016C082A */ slt $at, $t3, $t4 /* B5C6D8 800E5538 10200002 */ beqz $at, .L800E5544 -/* B5C6DC 800E553C 3C018013 */ lui $at, %hi(D_801304E0) # $at, 0x8013 -/* B5C6E0 800E5540 AC2C04E0 */ sw $t4, %lo(D_801304E0)($at) +/* B5C6DC 800E553C 3C018013 */ lui $at, %hi(sMaxAbiCmdCnt) # $at, 0x8013 +/* B5C6E0 800E5540 AC2C04E0 */ sw $t4, %lo(sMaxAbiCmdCnt)($at) .L800E5544: /* B5C6E4 800E5544 864D2848 */ lh $t5, 0x2848($s2) /* B5C6E8 800E5548 24010001 */ li $at, 1 @@ -374,9 +374,9 @@ glabel func_800E5000 /* B5C6F8 800E5558 8E4228B8 */ lw $v0, 0x28b8($s2) /* B5C6FC 800E555C 8E4F28B8 */ lw $t7, 0x28b8($s2) .L800E5560: -/* B5C700 800E5560 3C018013 */ lui $at, %hi(D_801304E4) # $at, 0x8013 +/* B5C700 800E5560 3C018013 */ lui $at, %hi(sWaitingAudioTask) # $at, 0x8013 /* B5C704 800E5564 00001025 */ move $v0, $zero -/* B5C708 800E5568 AC2F04E4 */ sw $t7, %lo(D_801304E4)($at) +/* B5C708 800E5568 AC2F04E4 */ sw $t7, %lo(sWaitingAudioTask)($at) .L800E556C: /* B5C70C 800E556C 8FBF0024 */ lw $ra, 0x24($sp) /* B5C710 800E5570 8FB00018 */ lw $s0, 0x18($sp) diff --git a/asm/non_matchings/code/code_800E4FE0/func_800E6590.s b/asm/non_matchings/code/code_800E4FE0/func_800E6590.s deleted file mode 100644 index 770b6beec4..0000000000 --- a/asm/non_matchings/code/code_800E4FE0/func_800E6590.s +++ /dev/null @@ -1,68 +0,0 @@ -glabel func_800E6590 -/* B5D730 800E6590 00047080 */ sll $t6, $a0, 2 -/* B5D734 800E6594 01C47023 */ subu $t6, $t6, $a0 -/* B5D738 800E6598 000E7080 */ sll $t6, $t6, 2 -/* B5D73C 800E659C 01C47023 */ subu $t6, $t6, $a0 -/* B5D740 800E65A0 3C0F8017 */ lui $t7, %hi(gAudioContext) # $t7, 0x8017 -/* B5D744 800E65A4 25EFF180 */ addiu $t7, %lo(gAudioContext) # addiu $t7, $t7, -0xe80 -/* B5D748 800E65A8 000E7140 */ sll $t6, $t6, 5 -/* B5D74C 800E65AC 01CF1021 */ addu $v0, $t6, $t7 -/* B5D750 800E65B0 8C583530 */ lw $t8, 0x3530($v0) -/* B5D754 800E65B4 00054080 */ sll $t0, $a1, 2 -/* B5D758 800E65B8 00484821 */ addu $t1, $v0, $t0 -/* B5D75C 800E65BC 0018CFC2 */ srl $t9, $t8, 0x1f -/* B5D760 800E65C0 5320002D */ beql $t9, $zero, .L800E6678 -/* B5D764 800E65C4 00001025 */ move $v0, $zero -/* B5D768 800E65C8 8D233568 */ lw $v1, 0x3568($t1) -/* B5D76C 800E65CC 00066080 */ sll $t4, $a2, 2 -/* B5D770 800E65D0 8C6A0000 */ lw $t2, ($v1) -/* B5D774 800E65D4 006C6821 */ addu $t5, $v1, $t4 -/* B5D778 800E65D8 000A5FC2 */ srl $t3, $t2, 0x1f -/* B5D77C 800E65DC 51600026 */ beql $t3, $zero, .L800E6678 -/* B5D780 800E65E0 00001025 */ move $v0, $zero -/* B5D784 800E65E4 8DA20050 */ lw $v0, 0x50($t5) -/* B5D788 800E65E8 54400004 */ bnezl $v0, .L800E65FC -/* B5D78C 800E65EC 8C430000 */ lw $v1, ($v0) -/* B5D790 800E65F0 03E00008 */ jr $ra -/* B5D794 800E65F4 00001025 */ move $v0, $zero -/* B5D798 800E65F8 8C430000 */ lw $v1, ($v0) -.L800E65FC: -/* B5D79C 800E65FC 000377C2 */ srl $t6, $v1, 0x1f -/* B5D7A0 800E6600 51C0001D */ beql $t6, $zero, .L800E6678 -/* B5D7A4 800E6604 00001025 */ move $v0, $zero -/* B5D7A8 800E6608 8C44002C */ lw $a0, 0x2c($v0) -/* B5D7AC 800E660C 0003C100 */ sll $t8, $v1, 4 -/* B5D7B0 800E6610 14800003 */ bnez $a0, .L800E6620 -/* B5D7B4 800E6614 00000000 */ nop -/* B5D7B8 800E6618 03E00008 */ jr $ra -/* B5D7BC 800E661C 00001025 */ move $v0, $zero -.L800E6620: -/* B5D7C0 800E6620 07020004 */ bltzl $t8, .L800E6634 -/* B5D7C4 800E6624 8C990044 */ lw $t9, 0x44($a0) -/* B5D7C8 800E6628 03E00008 */ jr $ra -/* B5D7CC 800E662C 00001025 */ move $v0, $zero -/* B5D7D0 800E6630 8C990044 */ lw $t9, 0x44($a0) -.L800E6634: -/* B5D7D4 800E6634 00801825 */ move $v1, $a0 -/* B5D7D8 800E6638 1459000C */ bne $v0, $t9, .L800E666C -/* B5D7DC 800E663C 00000000 */ nop -/* B5D7E0 800E6640 8C8400D0 */ lw $a0, 0xd0($a0) -/* B5D7E4 800E6644 54800004 */ bnezl $a0, .L800E6658 -/* B5D7E8 800E6648 8C880000 */ lw $t0, ($a0) -/* B5D7EC 800E664C 03E00008 */ jr $ra -/* B5D7F0 800E6650 00001025 */ move $v0, $zero -/* B5D7F4 800E6654 8C880000 */ lw $t0, ($a0) -.L800E6658: -/* B5D7F8 800E6658 8C660018 */ lw $a2, 0x18($v1) -/* B5D7FC 800E665C 8D090008 */ lw $t1, 8($t0) -/* B5D800 800E6660 8D250004 */ lw $a1, 4($t1) -/* B5D804 800E6664 03E00008 */ jr $ra -/* B5D808 800E6668 00A61023 */ subu $v0, $a1, $a2 -.L800E666C: -/* B5D80C 800E666C 03E00008 */ jr $ra -/* B5D810 800E6670 00001025 */ move $v0, $zero -/* B5D814 800E6674 00001025 */ move $v0, $zero -.L800E6678: -/* B5D818 800E6678 03E00008 */ jr $ra -/* B5D81C 800E667C 00000000 */ nop - diff --git a/asm/non_matchings/code/code_800EC960/func_800ECDF8.s b/asm/non_matchings/code/code_800EC960/func_800ECDF8.s deleted file mode 100644 index 2a9c81e455..0000000000 --- a/asm/non_matchings/code/code_800EC960/func_800ECDF8.s +++ /dev/null @@ -1,282 +0,0 @@ -glabel func_800ECDF8 -/* B63F98 800ECDF8 27BDFFA0 */ addiu $sp, $sp, -0x60 -/* B63F9C 800ECDFC AFBF002C */ sw $ra, 0x2c($sp) -/* B63FA0 800ECE00 AFB50028 */ sw $s5, 0x28($sp) -/* B63FA4 800ECE04 AFB40024 */ sw $s4, 0x24($sp) -/* B63FA8 800ECE08 AFB30020 */ sw $s3, 0x20($sp) -/* B63FAC 800ECE0C AFB2001C */ sw $s2, 0x1c($sp) -/* B63FB0 800ECE10 AFB10018 */ sw $s1, 0x18($sp) -/* B63FB4 800ECE14 AFB00014 */ sw $s0, 0x14($sp) -/* B63FB8 800ECE18 A3A0005B */ sb $zero, 0x5b($sp) -/* B63FBC 800ECE1C 0C03B36F */ jal func_800ECDBC -/* B63FC0 800ECE20 A3A00057 */ sb $zero, 0x57($sp) -/* B63FC4 800ECE24 3C0E8017 */ lui $t6, %hi(sOcarinaHasStartedSong) # $t6, 0x8017 -/* B63FC8 800ECE28 91CEBA29 */ lbu $t6, %lo(sOcarinaHasStartedSong)($t6) -/* B63FCC 800ECE2C 3C028013 */ lui $v0, %hi(D_80130F2C) # $v0, 0x8013 -/* B63FD0 800ECE30 51C000EB */ beql $t6, $zero, .L800ED1E0 -/* B63FD4 800ECE34 8FBF002C */ lw $ra, 0x2c($sp) -/* B63FD8 800ECE38 80420F2C */ lb $v0, %lo(D_80130F2C)($v0) -/* B63FDC 800ECE3C 3C0F8013 */ lui $t7, %hi(sCurOcarinaBtnVal) # $t7, 0x8013 -/* B63FE0 800ECE40 3C188013 */ lui $t8, %hi(sPrevOcarinaNoteVal) # $t8, 0x8013 -/* B63FE4 800ECE44 04410003 */ bgez $v0, .L800ECE54 -/* B63FE8 800ECE48 00401825 */ move $v1, $v0 -/* B63FEC 800ECE4C 10000001 */ b .L800ECE54 -/* B63FF0 800ECE50 00021823 */ negu $v1, $v0 -.L800ECE54: -/* B63FF4 800ECE54 28610015 */ slti $at, $v1, 0x15 -/* B63FF8 800ECE58 14200004 */ bnez $at, .L800ECE6C -/* B63FFC 800ECE5C 3C1F8017 */ lui $ra, %hi(gOcarinaSongNotestartIdx) # $ra, 0x8017 -/* B64000 800ECE60 3C018013 */ lui $at, %hi(D_80130F3C) # $at, 0x8013 -/* B64004 800ECE64 100000DD */ b .L800ED1DC -/* B64008 800ECE68 AC200F3C */ sw $zero, %lo(D_80130F3C)($at) -.L800ECE6C: -/* B6400C 800ECE6C 91EF0F14 */ lbu $t7, %lo(sCurOcarinaBtnVal)($t7) -/* B64010 800ECE70 93180F18 */ lbu $t8, %lo(sPrevOcarinaNoteVal)($t8) -/* B64014 800ECE74 3C0E8017 */ lui $t6, %hi(sOcarinaSongCnt) # $t6, 0x8017 -/* B64018 800ECE78 AFAF0040 */ sw $t7, 0x40($sp) -/* B6401C 800ECE7C 11F80003 */ beq $t7, $t8, .L800ECE8C -/* B64020 800ECE80 01E0A825 */ move $s5, $t7 -/* B64024 800ECE84 240D00FF */ li $t5, 255 -/* B64028 800ECE88 15AF0003 */ bne $t5, $t7, .L800ECE98 -.L800ECE8C: -/* B6402C 800ECE8C 24190001 */ li $t9, 1 -/* B64030 800ECE90 A3B9005B */ sb $t9, 0x5b($sp) -/* B64034 800ECE94 240D00FF */ li $t5, 255 -.L800ECE98: -/* B64038 800ECE98 93FFBA2A */ lbu $ra, %lo(gOcarinaSongNotestartIdx)($ra) -/* B6403C 800ECE9C 91CEBA2B */ lbu $t6, %lo(sOcarinaSongCnt)($t6) -/* B64040 800ECEA0 3C108017 */ lui $s0, %hi(sOcarinaAvailSongs) # $s0, 0x8017 -/* B64044 800ECEA4 03E03025 */ move $a2, $ra -/* B64048 800ECEA8 03EE082A */ slt $at, $ra, $t6 -/* B6404C 800ECEAC 102000BE */ beqz $at, .L800ED1A8 -/* B64050 800ECEB0 AFAE0034 */ sw $t6, 0x34($sp) -/* B64054 800ECEB4 3C148013 */ lui $s4, %hi(sOcarinaSongs) # $s4, 0x8013 -/* B64058 800ECEB8 3C138017 */ lui $s3, %hi(sLearnSongPos) # $s3, 0x8017 -/* B6405C 800ECEBC 3C128013 */ lui $s2, %hi(sLearnSongLastBtn) # $s2, 0x8013 -/* B64060 800ECEC0 3C118017 */ lui $s1, %hi(sLearnSongExpectedNote) # $s1, 0x8017 -/* B64064 800ECEC4 3C028017 */ lui $v0, %hi(D_8016BA2E) # $v0, 0x8017 -/* B64068 800ECEC8 2442BA2E */ addiu $v0, %lo(D_8016BA2E) # addiu $v0, $v0, -0x45d2 -/* B6406C 800ECECC 2631BA90 */ addiu $s1, %lo(sLearnSongExpectedNote) # addiu $s1, $s1, -0x4570 -/* B64070 800ECED0 26520F20 */ addiu $s2, %lo(sLearnSongLastBtn) # addiu $s2, $s2, 0xf20 -/* B64074 800ECED4 2673BA30 */ addiu $s3, %lo(sLearnSongPos) # addiu $s3, $s3, -0x45d0 -/* B64078 800ECED8 26940F80 */ addiu $s4, %lo(sOcarinaSongs) # addiu $s4, $s4, 0xf80 -/* B6407C 800ECEDC 9610BA2C */ lhu $s0, %lo(sOcarinaAvailSongs)($s0) -.L800ECEE0: -/* B64080 800ECEE0 240F0001 */ li $t7, 1 -/* B64084 800ECEE4 00CF5804 */ sllv $t3, $t7, $a2 -/* B64088 800ECEE8 316BFFFF */ andi $t3, $t3, 0xffff -/* B6408C 800ECEEC 020BC024 */ and $t8, $s0, $t3 -/* B64090 800ECEF0 1300008E */ beqz $t8, .L800ED12C -/* B64094 800ECEF4 001F6040 */ sll $t4, $ra, 1 -/* B64098 800ECEF8 3C0E8017 */ lui $t6, %hi(D_8016BA70) # $t6, 0x8017 -/* B6409C 800ECEFC 25CEBA70 */ addiu $t6, %lo(D_8016BA70) # addiu $t6, $t6, -0x4590 -/* B640A0 800ECF00 018E4021 */ addu $t0, $t4, $t6 -/* B640A4 800ECF04 95040000 */ lhu $a0, ($t0) -/* B640A8 800ECF08 93AF005B */ lbu $t7, 0x5b($sp) -/* B640AC 800ECF0C 3C198017 */ lui $t9, %hi(D_8016BA50) # $t9, 0x8017 -/* B640B0 800ECF10 2739BA50 */ addiu $t9, %lo(D_8016BA50) # addiu $t9, $t9, -0x45b0 -/* B640B4 800ECF14 01991821 */ addu $v1, $t4, $t9 -/* B640B8 800ECF18 24850012 */ addiu $a1, $a0, 0x12 -/* B640BC 800ECF1C 11E00021 */ beqz $t7, .L800ECFA4 -/* B640C0 800ECF20 A4650000 */ sh $a1, ($v1) -/* B640C4 800ECF24 30A2FFFF */ andi $v0, $a1, 0xffff -/* B640C8 800ECF28 2498FFEE */ addiu $t8, $a0, -0x12 -/* B640CC 800ECF2C 0058082A */ slt $at, $v0, $t8 -/* B640D0 800ECF30 14200019 */ bnez $at, .L800ECF98 -/* B640D4 800ECF34 0045082A */ slt $at, $v0, $a1 -/* B640D8 800ECF38 14200017 */ bnez $at, .L800ECF98 -/* B640DC 800ECF3C 001FC880 */ sll $t9, $ra, 2 -/* B640E0 800ECF40 026C7821 */ addu $t7, $s3, $t4 -/* B640E4 800ECF44 95F80000 */ lhu $t8, ($t7) -/* B640E8 800ECF48 033FC821 */ addu $t9, $t9, $ra -/* B640EC 800ECF4C 0019C940 */ sll $t9, $t9, 5 -/* B640F0 800ECF50 02997021 */ addu $t6, $s4, $t9 -/* B640F4 800ECF54 0018C8C0 */ sll $t9, $t8, 3 -/* B640F8 800ECF58 01D97821 */ addu $t7, $t6, $t9 -/* B640FC 800ECF5C 95F80002 */ lhu $t8, 2($t7) -/* B64100 800ECF60 023FC821 */ addu $t9, $s1, $ra -/* B64104 800ECF64 1700000C */ bnez $t8, .L800ECF98 -/* B64108 800ECF68 00000000 */ nop -/* B6410C 800ECF6C 924E0000 */ lbu $t6, ($s2) -/* B64110 800ECF70 932F0000 */ lbu $t7, ($t9) -/* B64114 800ECF74 24D80001 */ addiu $t8, $a2, 1 -/* B64118 800ECF78 3C018013 */ lui $at, %hi(D_80131878) # $at, 0x8013 -/* B6411C 800ECF7C 15CF0006 */ bne $t6, $t7, .L800ECF98 -/* B64120 800ECF80 00000000 */ nop -/* B64124 800ECF84 A0381878 */ sb $t8, %lo(D_80131878)($at) -/* B64128 800ECF88 3C018013 */ lui $at, %hi(sOcarinaInpEnabled) # $at, 0x8013 -/* B6412C 800ECF8C A0200F0C */ sb $zero, %lo(sOcarinaInpEnabled)($at) -/* B64130 800ECF90 3C018013 */ lui $at, %hi(D_80130F3C) # $at, 0x8013 -/* B64134 800ECF94 AC200F3C */ sw $zero, %lo(D_80130F3C)($at) -.L800ECF98: -/* B64138 800ECF98 3C028017 */ lui $v0, %hi(D_8016BA2E) # $v0, 0x8017 -/* B6413C 800ECF9C 10000063 */ b .L800ED12C -/* B64140 800ECFA0 2442BA2E */ addiu $v0, %lo(D_8016BA2E) # addiu $v0, $v0, -0x45d2 -.L800ECFA4: -/* B64144 800ECFA4 94620000 */ lhu $v0, ($v1) -/* B64148 800ECFA8 2499FFEE */ addiu $t9, $a0, -0x12 -/* B6414C 800ECFAC 026C3821 */ addu $a3, $s3, $t4 -/* B64150 800ECFB0 0059082A */ slt $at, $v0, $t9 -/* B64154 800ECFB4 1420004C */ bnez $at, .L800ED0E8 -/* B64158 800ECFB8 001FC880 */ sll $t9, $ra, 2 -/* B6415C 800ECFBC 92420000 */ lbu $v0, ($s2) -/* B64160 800ECFC0 023F7021 */ addu $t6, $s1, $ra -/* B64164 800ECFC4 033FC821 */ addu $t9, $t9, $ra -/* B64168 800ECFC8 51A2000F */ beql $t5, $v0, .L800ED008 -/* B6416C 800ECFCC 94E20000 */ lhu $v0, ($a3) -/* B64170 800ECFD0 91CF0000 */ lbu $t7, ($t6) -/* B64174 800ECFD4 020BC026 */ xor $t8, $s0, $t3 -/* B64178 800ECFD8 3C018017 */ lui $at, %hi(sOcarinaAvailSongs) # $at, 0x8017 -/* B6417C 800ECFDC 144F0006 */ bne $v0, $t7, .L800ECFF8 -/* B64180 800ECFE0 00000000 */ nop -/* B64184 800ECFE4 2401000C */ li $at, 12 -/* B64188 800ECFE8 54C10007 */ bnel $a2, $at, .L800ED008 -/* B6418C 800ECFEC 94E20000 */ lhu $v0, ($a3) -/* B64190 800ECFF0 10000004 */ b .L800ED004 -/* B64194 800ECFF4 A4600000 */ sh $zero, ($v1) -.L800ECFF8: -/* B64198 800ECFF8 A438BA2C */ sh $t8, %lo(sOcarinaAvailSongs)($at) -/* B6419C 800ECFFC 3C108017 */ lui $s0, %hi(sOcarinaAvailSongs) # $s0, 0x8017 -/* B641A0 800ED000 9610BA2C */ lhu $s0, %lo(sOcarinaAvailSongs)($s0) -.L800ED004: -/* B641A4 800ED004 94E20000 */ lhu $v0, ($a3) -.L800ED008: -/* B641A8 800ED008 0019C940 */ sll $t9, $t9, 5 -/* B641AC 800ED00C 02993021 */ addu $a2, $s4, $t9 -/* B641B0 800ED010 24490001 */ addiu $t1, $v0, 1 -/* B641B4 800ED014 3129FFFF */ andi $t1, $t1, 0xffff -/* B641B8 800ED018 000270C0 */ sll $t6, $v0, 3 -/* B641BC 800ED01C 00CE2821 */ addu $a1, $a2, $t6 -/* B641C0 800ED020 A4E90000 */ sh $t1, ($a3) -/* B641C4 800ED024 94B80002 */ lhu $t8, 2($a1) -/* B641C8 800ED028 000978C0 */ sll $t7, $t1, 3 -/* B641CC 800ED02C 00CF5021 */ addu $t2, $a2, $t7 -/* B641D0 800ED030 A5180000 */ sh $t8, ($t0) -/* B641D4 800ED034 90B90000 */ lbu $t9, ($a1) -/* B641D8 800ED038 023F1821 */ addu $v1, $s1, $ra -/* B641DC 800ED03C 01402025 */ move $a0, $t2 -/* B641E0 800ED040 332E00FF */ andi $t6, $t9, 0xff -/* B641E4 800ED044 12AE0006 */ beq $s5, $t6, .L800ED060 -/* B641E8 800ED048 A0790000 */ sb $t9, ($v1) -/* B641EC 800ED04C 020B7826 */ xor $t7, $s0, $t3 -/* B641F0 800ED050 3C018017 */ lui $at, %hi(sOcarinaAvailSongs) # $at, 0x8017 -/* B641F4 800ED054 A42FBA2C */ sh $t7, %lo(sOcarinaAvailSongs)($at) -/* B641F8 800ED058 3C108017 */ lui $s0, %hi(sOcarinaAvailSongs) # $s0, 0x8017 -/* B641FC 800ED05C 9610BA2C */ lhu $s0, %lo(sOcarinaAvailSongs)($s0) -.L800ED060: -/* B64200 800ED060 90980000 */ lbu $t8, ($a0) -/* B64204 800ED064 90B90000 */ lbu $t9, ($a1) -/* B64208 800ED068 53190008 */ beql $t8, $t9, .L800ED08C -/* B6420C 800ED06C 95180000 */ lhu $t8, ($t0) -/* B64210 800ED070 914E0000 */ lbu $t6, ($t2) -/* B64214 800ED074 15AE0019 */ bne $t5, $t6, .L800ED0DC -/* B64218 800ED078 00000000 */ nop -/* B6421C 800ED07C 954F0002 */ lhu $t7, 2($t2) -/* B64220 800ED080 11E00016 */ beqz $t7, .L800ED0DC -/* B64224 800ED084 00000000 */ nop -/* B64228 800ED088 95180000 */ lhu $t8, ($t0) -.L800ED08C: -/* B6422C 800ED08C 94990002 */ lhu $t9, 2($a0) -/* B64230 800ED090 94E20000 */ lhu $v0, ($a3) -/* B64234 800ED094 03197021 */ addu $t6, $t8, $t9 -/* B64238 800ED098 24590001 */ addiu $t9, $v0, 1 -/* B6423C 800ED09C A50E0000 */ sh $t6, ($t0) -/* B64240 800ED0A0 A4F90000 */ sh $t9, ($a3) -/* B64244 800ED0A4 000278C0 */ sll $t7, $v0, 3 -/* B64248 800ED0A8 0002C0C0 */ sll $t8, $v0, 3 -/* B6424C 800ED0AC 00CF2821 */ addu $a1, $a2, $t7 -/* B64250 800ED0B0 00D82021 */ addu $a0, $a2, $t8 -/* B64254 800ED0B4 90830008 */ lbu $v1, 8($a0) -/* B64258 800ED0B8 90AE0000 */ lbu $t6, ($a1) -/* B6425C 800ED0BC 24840008 */ addiu $a0, $a0, 8 -/* B64260 800ED0C0 506EFFF2 */ beql $v1, $t6, .L800ED08C -/* B64264 800ED0C4 95180000 */ lhu $t8, ($t0) -/* B64268 800ED0C8 15A30004 */ bne $t5, $v1, .L800ED0DC -/* B6426C 800ED0CC 00000000 */ nop -/* B64270 800ED0D0 948F0002 */ lhu $t7, 2($a0) -/* B64274 800ED0D4 55E0FFED */ bnezl $t7, .L800ED08C -/* B64278 800ED0D8 95180000 */ lhu $t8, ($t0) -.L800ED0DC: -/* B6427C 800ED0DC 3C028017 */ lui $v0, %hi(D_8016BA2E) # $v0, 0x8017 -/* B64280 800ED0E0 10000012 */ b .L800ED12C -/* B64284 800ED0E4 2442BA2E */ addiu $v0, %lo(D_8016BA2E) # addiu $v0, $v0, -0x45d2 -.L800ED0E8: -/* B64288 800ED0E8 2841000A */ slti $at, $v0, 0xa -/* B6428C 800ED0EC 10200008 */ beqz $at, .L800ED110 -/* B64290 800ED0F0 2418FFFF */ li $t8, -1 -/* B64294 800ED0F4 8FB90040 */ lw $t9, 0x40($sp) -/* B64298 800ED0F8 3C028017 */ lui $v0, %hi(D_8016BA2E) # $v0, 0x8017 -/* B6429C 800ED0FC A3B80057 */ sb $t8, 0x57($sp) -/* B642A0 800ED100 A4600000 */ sh $zero, ($v1) -/* B642A4 800ED104 2442BA2E */ addiu $v0, %lo(D_8016BA2E) # addiu $v0, $v0, -0x45d2 -/* B642A8 800ED108 10000008 */ b .L800ED12C -/* B642AC 800ED10C A2590000 */ sb $t9, ($s2) -.L800ED110: -/* B642B0 800ED110 020B7026 */ xor $t6, $s0, $t3 -/* B642B4 800ED114 3C018017 */ lui $at, %hi(sOcarinaAvailSongs) # $at, 0x8017 -/* B642B8 800ED118 A42EBA2C */ sh $t6, %lo(sOcarinaAvailSongs)($at) -/* B642BC 800ED11C 3C108017 */ lui $s0, %hi(sOcarinaAvailSongs) # $s0, 0x8017 -/* B642C0 800ED120 3C028017 */ lui $v0, %hi(D_8016BA2E) # $v0, 0x8017 -/* B642C4 800ED124 2442BA2E */ addiu $v0, %lo(D_8016BA2E) # addiu $v0, $v0, -0x45d2 -/* B642C8 800ED128 9610BA2C */ lhu $s0, %lo(sOcarinaAvailSongs)($s0) -.L800ED12C: -/* B642CC 800ED12C 16000018 */ bnez $s0, .L800ED190 -/* B642D0 800ED130 3C188013 */ lui $t8, %hi(D_8013187C) # $t8, 0x8013 -/* B642D4 800ED134 904F0000 */ lbu $t7, ($v0) -/* B642D8 800ED138 9318187C */ lbu $t8, %lo(D_8013187C)($t8) -/* B642DC 800ED13C 01F8082A */ slt $at, $t7, $t8 -/* B642E0 800ED140 54200014 */ bnezl $at, .L800ED194 -/* B642E4 800ED144 8FB90034 */ lw $t9, 0x34($sp) -/* B642E8 800ED148 3C028013 */ lui $v0, %hi(D_80130F3C) # $v0, 0x8013 -/* B642EC 800ED14C 8C420F3C */ lw $v0, %lo(D_80130F3C)($v0) -/* B642F0 800ED150 3C018013 */ lui $at, %hi(sOcarinaInpEnabled) # $at, 0x8013 -/* B642F4 800ED154 A0200F0C */ sb $zero, %lo(sOcarinaInpEnabled)($at) -/* B642F8 800ED158 30594000 */ andi $t9, $v0, 0x4000 -/* B642FC 800ED15C 13200009 */ beqz $t9, .L800ED184 -/* B64300 800ED160 001F7080 */ sll $t6, $ra, 2 -/* B64304 800ED164 01DF7021 */ addu $t6, $t6, $ra -/* B64308 800ED168 000E7140 */ sll $t6, $t6, 5 -/* B6430C 800ED16C 028E7821 */ addu $t7, $s4, $t6 -/* B64310 800ED170 91F80000 */ lbu $t8, ($t7) -/* B64314 800ED174 3C018013 */ lui $at, %hi(D_80130F4C) # $at, 0x8013 -/* B64318 800ED178 16B80002 */ bne $s5, $t8, .L800ED184 -/* B6431C 800ED17C 00000000 */ nop -/* B64320 800ED180 A4220F4C */ sh $v0, %lo(D_80130F4C)($at) -.L800ED184: -/* B64324 800ED184 3C018013 */ lui $at, %hi(D_80130F3C) # $at, 0x8013 -/* B64328 800ED188 10000014 */ b .L800ED1DC -/* B6432C 800ED18C AC200F3C */ sw $zero, %lo(D_80130F3C)($at) -.L800ED190: -/* B64330 800ED190 8FB90034 */ lw $t9, 0x34($sp) -.L800ED194: -/* B64334 800ED194 27FF0001 */ addiu $ra, $ra, 1 -/* B64338 800ED198 33FF00FF */ andi $ra, $ra, 0xff -/* B6433C 800ED19C 03F9082A */ slt $at, $ra, $t9 -/* B64340 800ED1A0 1420FF4F */ bnez $at, .L800ECEE0 -/* B64344 800ED1A4 03E03025 */ move $a2, $ra -.L800ED1A8: -/* B64348 800ED1A8 93AE005B */ lbu $t6, 0x5b($sp) -/* B6434C 800ED1AC 3C028017 */ lui $v0, %hi(D_8016BA2E) # $v0, 0x8017 -/* B64350 800ED1B0 3C128013 */ lui $s2, %hi(sLearnSongLastBtn) # $s2, 0x8013 -/* B64354 800ED1B4 26520F20 */ addiu $s2, %lo(sLearnSongLastBtn) # addiu $s2, $s2, 0xf20 -/* B64358 800ED1B8 15C00008 */ bnez $t6, .L800ED1DC -/* B6435C 800ED1BC 2442BA2E */ addiu $v0, %lo(D_8016BA2E) # addiu $v0, $v0, -0x45d2 -/* B64360 800ED1C0 8FAF0040 */ lw $t7, 0x40($sp) -/* B64364 800ED1C4 90580000 */ lbu $t8, ($v0) -/* B64368 800ED1C8 83B90057 */ lb $t9, 0x57($sp) -/* B6436C 800ED1CC A24F0000 */ sb $t7, ($s2) -/* B64370 800ED1D0 03197021 */ addu $t6, $t8, $t9 -/* B64374 800ED1D4 25CF0001 */ addiu $t7, $t6, 1 -/* B64378 800ED1D8 A04F0000 */ sb $t7, ($v0) -.L800ED1DC: -/* B6437C 800ED1DC 8FBF002C */ lw $ra, 0x2c($sp) -.L800ED1E0: -/* B64380 800ED1E0 8FB00014 */ lw $s0, 0x14($sp) -/* B64384 800ED1E4 8FB10018 */ lw $s1, 0x18($sp) -/* B64388 800ED1E8 8FB2001C */ lw $s2, 0x1c($sp) -/* B6438C 800ED1EC 8FB30020 */ lw $s3, 0x20($sp) -/* B64390 800ED1F0 8FB40024 */ lw $s4, 0x24($sp) -/* B64394 800ED1F4 8FB50028 */ lw $s5, 0x28($sp) -/* B64398 800ED1F8 03E00008 */ jr $ra -/* B6439C 800ED1FC 27BD0060 */ addiu $sp, $sp, 0x60 - diff --git a/asm/non_matchings/code/code_800EC960/func_800EEA50.s b/asm/non_matchings/code/code_800EC960/func_800EEA50.s index fa3320bb6d..d70156670f 100644 --- a/asm/non_matchings/code/code_800EC960/func_800EEA50.s +++ b/asm/non_matchings/code/code_800EC960/func_800EEA50.s @@ -608,7 +608,7 @@ glabel func_800EEA50 /* B65CFC 800EEB5C 8FA400B0 */ lw $a0, 0xb0($sp) /* B65D00 800EEB60 3C058013 */ lui $a1, %hi(D_80133414) # $a1, 0x8013 /* B65D04 800EEB64 90A53414 */ lbu $a1, %lo(D_80133414)($a1) -/* B65D08 800EEB68 3C048013 */ lui $a0, %hi(gAudioSessionPresets) +/* B65D08 800EEB68 3C048013 */ lui $a0, %hi(gAudioSessionPresets+0x5) /* B65D0C 800EEB6C 3C188017 */ lui $t8, %hi(D_8016B9E0) # $t8, 0x8017 /* B65D10 800EEB70 0005C8C0 */ sll $t9, $a1, 3 /* B65D14 800EEB74 0325C823 */ subu $t9, $t9, $a1 @@ -693,8 +693,8 @@ glabel func_800EEA50 /* B65E3C 800EEC9C 0C03EF2D */ jal GfxPrint_Printf /* B65E40 800EECA0 8FA400B0 */ lw $a0, 0xb0($sp) /* B65E44 800EECA4 92840001 */ lbu $a0, 1($s4) -/* B65E48 800EECA8 3C1E8013 */ lui $fp, %hi(D_80131ED4) # $fp, 0x8013 -/* B65E4C 800EECAC 83DE1ED4 */ lb $fp, %lo(D_80131ED4)($fp) +/* B65E48 800EECA8 3C1E8013 */ lui $fp, %hi(sAudioScreenPrintInd) # $fp, 0x8013 +/* B65E4C 800EECAC 83DE1ED4 */ lb $fp, %lo(sAudioScreenPrintInd)($fp) /* B65E50 800EECB0 24840001 */ addiu $a0, $a0, 1 /* B65E54 800EECB4 18800074 */ blez $a0, .L800EEE88 /* B65E58 800EECB8 00009025 */ move $s2, $zero @@ -703,9 +703,9 @@ glabel func_800EEA50 /* B65E64 800EECC4 241100B4 */ li $s1, 180 .L800EECC8: /* B65E68 800EECC8 17C0000B */ bnez $fp, .L800EECF8 -/* B65E6C 800EECCC 3C0C8017 */ lui $t4, %hi(D_8016B7E8) # $t4, 0x8017 -/* B65E70 800EECD0 3C0A8013 */ lui $t2, %hi(D_80131ED8) # $t2, 0x8013 -/* B65E74 800EECD4 914A1ED8 */ lbu $t2, %lo(D_80131ED8)($t2) +/* B65E6C 800EECCC 3C0C8017 */ lui $t4, %hi(sAudioScreenPrintBuf) # $t4, 0x8017 +/* B65E70 800EECD0 3C0A8013 */ lui $t2, %hi(sAudioScreenPrintOverflow) # $t2, 0x8013 +/* B65E74 800EECD4 914A1ED8 */ lbu $t2, %lo(sAudioScreenPrintOverflow)($t2) /* B65E78 800EECD8 24010001 */ li $at, 1 /* B65E7C 800EECDC 55410004 */ bnel $t2, $at, .L800EECF0 /* B65E80 800EECE0 00049600 */ sll $s2, $a0, 0x18 @@ -724,7 +724,7 @@ glabel func_800EEA50 /* B65EA8 800EED08 001E58C0 */ sll $t3, $fp, 3 /* B65EAC 800EED0C 03D7001A */ div $zero, $fp, $s7 /* B65EB0 800EED10 00006810 */ mfhi $t5 -/* B65EB4 800EED14 258CB7E8 */ addiu $t4, %lo(D_8016B7E8) # addiu $t4, $t4, -0x4818 +/* B65EB4 800EED14 258CB7E8 */ addiu $t4, %lo(sAudioScreenPrintBuf) # addiu $t4, $t4, -0x4818 /* B65EB8 800EED18 016C8021 */ addu $s0, $t3, $t4 /* B65EBC 800EED1C 16E00002 */ bnez $s7, .L800EED28 /* B65EC0 800EED20 00000000 */ nop @@ -1653,8 +1653,8 @@ glabel L800EF978 /* B66C9C 800EFAFC 0C03ED07 */ jal GfxPrint_SetPos /* B66CA0 800EFB00 24060008 */ li $a2, 8 /* B66CA4 800EFB04 3C058015 */ lui $a1, %hi(D_80149F88) # $a1, 0x8015 -/* B66CA8 800EFB08 3C068013 */ lui $a2, %hi(D_80131E43) # $a2, 0x8013 -/* B66CAC 800EFB0C 24C61E43 */ addiu $a2, %lo(D_80131E43) # addiu $a2, $a2, 0x1e43 +/* B66CA8 800EFB08 3C068013 */ lui $a2, %hi(D_80131E38 + 0xB) # $a2, 0x8013 +/* B66CAC 800EFB0C 24C61E43 */ addiu $a2, %lo(D_80131E38 + 0xB) # addiu $a2, $a2, 0x1e43 /* B66CB0 800EFB10 24A59F88 */ addiu $a1, %lo(D_80149F88) # addiu $a1, $a1, -0x6078 /* B66CB4 800EFB14 8FA400B0 */ lw $a0, 0xb0($sp) /* B66CB8 800EFB18 0C03EF2D */ jal GfxPrint_Printf @@ -1673,8 +1673,8 @@ glabel L800EF978 /* B66CEC 800EFB4C 0C03ED07 */ jal GfxPrint_SetPos /* B66CF0 800EFB50 2406000A */ li $a2, 10 /* B66CF4 800EFB54 3C058015 */ lui $a1, %hi(D_80149FA4) # $a1, 0x8015 -/* B66CF8 800EFB58 3C068013 */ lui $a2, %hi(D_80131E59) # $a2, 0x8013 -/* B66CFC 800EFB5C 24C61E59 */ addiu $a2, %lo(D_80131E59) # addiu $a2, $a2, 0x1e59 +/* B66CF8 800EFB58 3C068013 */ lui $a2, %hi(D_80131E38 + 0x21) # $a2, 0x8013 +/* B66CFC 800EFB5C 24C61E59 */ addiu $a2, %lo(D_80131E38 + 0x21) # addiu $a2, $a2, 0x1e59 /* B66D00 800EFB60 24A59FA4 */ addiu $a1, %lo(D_80149FA4) # addiu $a1, $a1, -0x605c /* B66D04 800EFB64 8FA400B0 */ lw $a0, 0xb0($sp) /* B66D08 800EFB68 0C03EF2D */ jal GfxPrint_Printf @@ -1684,8 +1684,8 @@ glabel L800EF978 /* B66D18 800EFB78 0C03ED07 */ jal GfxPrint_SetPos /* B66D1C 800EFB7C 2406000B */ li $a2, 11 /* B66D20 800EFB80 3C058015 */ lui $a1, %hi(D_80149FB0) # $a1, 0x8015 -/* B66D24 800EFB84 3C068013 */ lui $a2, %hi(D_80131E64) # $a2, 0x8013 -/* B66D28 800EFB88 24C61E64 */ addiu $a2, %lo(D_80131E64) # addiu $a2, $a2, 0x1e64 +/* B66D24 800EFB84 3C068013 */ lui $a2, %hi(D_80131E38 + 0x2c) # $a2, 0x8013 +/* B66D28 800EFB88 24C61E64 */ addiu $a2, %lo(D_80131E38 + 0x2c) # addiu $a2, $a2, 0x1e64 /* B66D2C 800EFB8C 24A59FB0 */ addiu $a1, %lo(D_80149FB0) # addiu $a1, $a1, -0x6050 /* B66D30 800EFB90 8FA400B0 */ lw $a0, 0xb0($sp) /* B66D34 800EFB94 0C03EF2D */ jal GfxPrint_Printf @@ -1695,8 +1695,8 @@ glabel L800EF978 /* B66D44 800EFBA4 0C03ED07 */ jal GfxPrint_SetPos /* B66D48 800EFBA8 2406000C */ li $a2, 12 /* B66D4C 800EFBAC 3C058015 */ lui $a1, %hi(D_80149FBC) # $a1, 0x8015 -/* B66D50 800EFBB0 3C068013 */ lui $a2, %hi(D_80131E6F) # $a2, 0x8013 -/* B66D54 800EFBB4 24C61E6F */ addiu $a2, %lo(D_80131E6F) # addiu $a2, $a2, 0x1e6f +/* B66D50 800EFBB0 3C068013 */ lui $a2, %hi(D_80131E38 + 0x37) # $a2, 0x8013 +/* B66D54 800EFBB4 24C61E6F */ addiu $a2, %lo(D_80131E38 + 0x37) # addiu $a2, $a2, 0x1e6f /* B66D58 800EFBB8 24A59FBC */ addiu $a1, %lo(D_80149FBC) # addiu $a1, $a1, -0x6044 /* B66D5C 800EFBBC 8FA400B0 */ lw $a0, 0xb0($sp) /* B66D60 800EFBC0 0C03EF2D */ jal GfxPrint_Printf @@ -1706,8 +1706,8 @@ glabel L800EF978 /* B66D70 800EFBD0 0C03ED07 */ jal GfxPrint_SetPos /* B66D74 800EFBD4 2406000D */ li $a2, 13 /* B66D78 800EFBD8 3C058015 */ lui $a1, %hi(D_80149FC4) # $a1, 0x8015 -/* B66D7C 800EFBDC 3C068013 */ lui $a2, %hi(D_80131E7A) # $a2, 0x8013 -/* B66D80 800EFBE0 24C61E7A */ addiu $a2, %lo(D_80131E7A) # addiu $a2, $a2, 0x1e7a +/* B66D7C 800EFBDC 3C068013 */ lui $a2, %hi(D_80131E38 + 0x42) # $a2, 0x8013 +/* B66D80 800EFBE0 24C61E7A */ addiu $a2, %lo(D_80131E38 + 0x42) # addiu $a2, $a2, 0x1e7a /* B66D84 800EFBE4 24A59FC4 */ addiu $a1, %lo(D_80149FC4) # addiu $a1, $a1, -0x603c /* B66D88 800EFBE8 8FA400B0 */ lw $a0, 0xb0($sp) /* B66D8C 800EFBEC 0C03EF2D */ jal GfxPrint_Printf diff --git a/asm/non_matchings/code/code_800EC960/func_800F6268.s b/asm/non_matchings/code/code_800EC960/func_800F6268.s deleted file mode 100644 index 651d510a11..0000000000 --- a/asm/non_matchings/code/code_800EC960/func_800F6268.s +++ /dev/null @@ -1,171 +0,0 @@ -glabel func_800F6268 -/* B6D408 800F6268 3C0F8017 */ lui $t7, %hi(D_8016B9F2) # $t7, 0x8017 -/* B6D40C 800F626C 91EFB9F2 */ lbu $t7, %lo(D_8016B9F2)($t7) -/* B6D410 800F6270 240E0001 */ li $t6, 1 -/* B6D414 800F6274 3C018017 */ lui $at, %hi(D_8016BAA8) # $at, 0x8017 -/* B6D418 800F6278 A02EBAA8 */ sb $t6, %lo(D_8016BAA8)($at) -/* B6D41C 800F627C 27BDFFD0 */ addiu $sp, $sp, -0x30 -/* B6D420 800F6280 3C018017 */ lui $at, %hi(D_8016BAAC) -/* B6D424 800F6284 AFBF001C */ sw $ra, 0x1c($sp) -/* B6D428 800F6288 AFA50034 */ sw $a1, 0x34($sp) -/* B6D42C 800F628C 15E00090 */ bnez $t7, .L800F64D0 -/* B6D430 800F6290 E42CBAAC */ swc1 $f12, %lo(D_8016BAAC)($at) -/* B6D434 800F6294 00002025 */ move $a0, $zero -/* B6D438 800F6298 0C03E82D */ jal func_800FA0B4 -/* B6D43C 800F629C E7AC0030 */ swc1 $f12, 0x30($sp) -/* B6D440 800F62A0 97A30036 */ lhu $v1, 0x36($sp) -/* B6D444 800F62A4 00402025 */ move $a0, $v0 -/* B6D448 800F62A8 00042600 */ sll $a0, $a0, 0x18 -/* B6D44C 800F62AC 00042603 */ sra $a0, $a0, 0x18 -/* B6D450 800F62B0 306300FF */ andi $v1, $v1, 0xff -/* B6D454 800F62B4 14640039 */ bne $v1, $a0, .L800F639C -/* B6D458 800F62B8 C7AC0030 */ lwc1 $f12, 0x30($sp) -/* B6D45C 800F62BC 2401002F */ li $at, 47 -/* B6D460 800F62C0 14610034 */ bne $v1, $at, .L800F6394 -/* B6D464 800F62C4 2419007F */ li $t9, 127 -/* B6D468 800F62C8 3C0144FA */ li $at, 0x44FA0000 # 0.000000 -/* B6D46C 800F62CC 44812000 */ mtc1 $at, $f4 -/* B6D470 800F62D0 3C014348 */ li $at, 0x43480000 # 0.000000 -/* B6D474 800F62D4 460C203C */ c.lt.s $f4, $f12 -/* B6D478 800F62D8 00000000 */ nop -/* B6D47C 800F62DC 45020004 */ bc1fl .L800F62F0 -/* B6D480 800F62E0 44810000 */ mtc1 $at, $f0 -/* B6D484 800F62E4 10000014 */ b .L800F6338 -/* B6D488 800F62E8 2403007F */ li $v1, 127 -/* B6D48C 800F62EC 44810000 */ mtc1 $at, $f0 -.L800F62F0: -/* B6D490 800F62F0 3C0142FE */ li $at, 0x42FE0000 # 0.000000 -/* B6D494 800F62F4 4600603C */ c.lt.s $f12, $f0 -/* B6D498 800F62F8 00000000 */ nop -/* B6D49C 800F62FC 45020004 */ bc1fl .L800F6310 -/* B6D4A0 800F6300 46006181 */ sub.s $f6, $f12, $f0 -/* B6D4A4 800F6304 1000000C */ b .L800F6338 -/* B6D4A8 800F6308 00001825 */ move $v1, $zero -/* B6D4AC 800F630C 46006181 */ sub.s $f6, $f12, $f0 -.L800F6310: -/* B6D4B0 800F6310 44814000 */ mtc1 $at, $f8 -/* B6D4B4 800F6314 3C0144E1 */ li $at, 0x44E10000 # 0.000000 -/* B6D4B8 800F6318 44818000 */ mtc1 $at, $f16 -/* B6D4BC 800F631C 46083282 */ mul.s $f10, $f6, $f8 -/* B6D4C0 800F6320 46105483 */ div.s $f18, $f10, $f16 -/* B6D4C4 800F6324 4600910D */ trunc.w.s $f4, $f18 -/* B6D4C8 800F6328 44032000 */ mfc1 $v1, $f4 -/* B6D4CC 800F632C 00000000 */ nop -/* B6D4D0 800F6330 00031E00 */ sll $v1, $v1, 0x18 -/* B6D4D4 800F6334 00031E03 */ sra $v1, $v1, 0x18 -.L800F6338: -/* B6D4D8 800F6338 03231023 */ subu $v0, $t9, $v1 -/* B6D4DC 800F633C 3C016003 */ lui $at, 0x6003 -/* B6D4E0 800F6340 00412025 */ or $a0, $v0, $at -/* B6D4E4 800F6344 AFA20028 */ sw $v0, 0x28($sp) -/* B6D4E8 800F6348 0C03E803 */ jal Audio_QueueSeqCmd -/* B6D4EC 800F634C A3A3002E */ sb $v1, 0x2e($sp) -/* B6D4F0 800F6350 8FA20028 */ lw $v0, 0x28($sp) -/* B6D4F4 800F6354 3C016003 */ lui $at, (0x60030100 >> 16) # lui $at, 0x6003 -/* B6D4F8 800F6358 34210100 */ ori $at, (0x60030100 & 0xFFFF) # ori $at, $at, 0x100 -/* B6D4FC 800F635C 0C03E803 */ jal Audio_QueueSeqCmd -/* B6D500 800F6360 00412025 */ or $a0, $v0, $at -/* B6D504 800F6364 83A3002E */ lb $v1, 0x2e($sp) -/* B6D508 800F6368 3C016003 */ lui $at, (0x60030D00 >> 16) # lui $at, 0x6003 -/* B6D50C 800F636C 34210D00 */ ori $at, (0x60030D00 & 0xFFFF) # ori $at, $at, 0xd00 -/* B6D510 800F6370 306400FF */ andi $a0, $v1, 0xff -/* B6D514 800F6374 0C03E803 */ jal Audio_QueueSeqCmd -/* B6D518 800F6378 00812025 */ or $a0, $a0, $at -/* B6D51C 800F637C 3C038017 */ lui $v1, %hi(D_8016B9D8) # $v1, 0x8017 -/* B6D520 800F6380 2463B9D8 */ addiu $v1, %lo(D_8016B9D8) # addiu $v1, $v1, -0x4628 -/* B6D524 800F6384 90620000 */ lbu $v0, ($v1) -/* B6D528 800F6388 14400002 */ bnez $v0, .L800F6394 -/* B6D52C 800F638C 24480001 */ addiu $t0, $v0, 1 -/* B6D530 800F6390 A0680000 */ sb $t0, ($v1) -.L800F6394: -/* B6D534 800F6394 10000047 */ b .L800F64B4 -/* B6D538 800F6398 00000000 */ nop -.L800F639C: -/* B6D53C 800F639C 24010001 */ li $at, 1 -/* B6D540 800F63A0 14810044 */ bne $a0, $at, .L800F64B4 -/* B6D544 800F63A4 2401002F */ li $at, 47 -/* B6D548 800F63A8 14610042 */ bne $v1, $at, .L800F64B4 -/* B6D54C 800F63AC 24040003 */ li $a0, 3 -/* B6D550 800F63B0 AFA30024 */ sw $v1, 0x24($sp) -/* B6D554 800F63B4 0C03E82D */ jal func_800FA0B4 -/* B6D558 800F63B8 E7AC0030 */ swc1 $f12, 0x30($sp) -/* B6D55C 800F63BC 8FA30024 */ lw $v1, 0x24($sp) -/* B6D560 800F63C0 00025600 */ sll $t2, $v0, 0x18 -/* B6D564 800F63C4 000A5E03 */ sra $t3, $t2, 0x18 -/* B6D568 800F63C8 106B0013 */ beq $v1, $t3, .L800F6418 -/* B6D56C 800F63CC C7AC0030 */ lwc1 $f12, 0x30($sp) -/* B6D570 800F63D0 3C0C8017 */ lui $t4, %hi(D_8016B9D8) # $t4, 0x8017 -/* B6D574 800F63D4 918CB9D8 */ lbu $t4, %lo(D_8016B9D8)($t4) -/* B6D578 800F63D8 24040003 */ li $a0, 3 -/* B6D57C 800F63DC 2405002F */ li $a1, 47 -/* B6D580 800F63E0 2981000A */ slti $at, $t4, 0xa -/* B6D584 800F63E4 1020000C */ beqz $at, .L800F6418 -/* B6D588 800F63E8 00003025 */ move $a2, $zero -/* B6D58C 800F63EC 00003825 */ move $a3, $zero -/* B6D590 800F63F0 AFA00010 */ sw $zero, 0x10($sp) -/* B6D594 800F63F4 0C03D786 */ jal func_800F5E18 -/* B6D598 800F63F8 E7AC0030 */ swc1 $f12, 0x30($sp) -/* B6D59C 800F63FC 3C04A300 */ lui $a0, (0xA300FFFC >> 16) # lui $a0, 0xa300 -/* B6D5A0 800F6400 0C03E803 */ jal Audio_QueueSeqCmd -/* B6D5A4 800F6404 3484FFFC */ ori $a0, (0xA300FFFC & 0xFFFF) # ori $a0, $a0, 0xfffc -/* B6D5A8 800F6408 240D000A */ li $t5, 10 -/* B6D5AC 800F640C 3C018017 */ lui $at, %hi(D_8016B9D8) -/* B6D5B0 800F6410 C7AC0030 */ lwc1 $f12, 0x30($sp) -/* B6D5B4 800F6414 A02DB9D8 */ sb $t5, %lo(D_8016B9D8)($at) -.L800F6418: -/* B6D5B8 800F6418 3C0144FA */ li $at, 0x44FA0000 # 0.000000 -/* B6D5BC 800F641C 44813000 */ mtc1 $at, $f6 -/* B6D5C0 800F6420 3C014348 */ li $at, 0x43480000 # 0.000000 -/* B6D5C4 800F6424 240F007F */ li $t7, 127 -/* B6D5C8 800F6428 460C303C */ c.lt.s $f6, $f12 -/* B6D5CC 800F642C 00000000 */ nop -/* B6D5D0 800F6430 45020004 */ bc1fl .L800F6444 -/* B6D5D4 800F6434 44810000 */ mtc1 $at, $f0 -/* B6D5D8 800F6438 10000014 */ b .L800F648C -/* B6D5DC 800F643C 2403007F */ li $v1, 127 -/* B6D5E0 800F6440 44810000 */ mtc1 $at, $f0 -.L800F6444: -/* B6D5E4 800F6444 3C0142FE */ li $at, 0x42FE0000 # 0.000000 -/* B6D5E8 800F6448 4600603C */ c.lt.s $f12, $f0 -/* B6D5EC 800F644C 00000000 */ nop -/* B6D5F0 800F6450 45020004 */ bc1fl .L800F6464 -/* B6D5F4 800F6454 46006201 */ sub.s $f8, $f12, $f0 -/* B6D5F8 800F6458 1000000C */ b .L800F648C -/* B6D5FC 800F645C 00001825 */ move $v1, $zero -/* B6D600 800F6460 46006201 */ sub.s $f8, $f12, $f0 -.L800F6464: -/* B6D604 800F6464 44815000 */ mtc1 $at, $f10 -/* B6D608 800F6468 3C0144E1 */ li $at, 0x44E10000 # 0.000000 -/* B6D60C 800F646C 44819000 */ mtc1 $at, $f18 -/* B6D610 800F6470 460A4402 */ mul.s $f16, $f8, $f10 -/* B6D614 800F6474 46128103 */ div.s $f4, $f16, $f18 -/* B6D618 800F6478 4600218D */ trunc.w.s $f6, $f4 -/* B6D61C 800F647C 44033000 */ mfc1 $v1, $f6 -/* B6D620 800F6480 00000000 */ nop -/* B6D624 800F6484 00031E00 */ sll $v1, $v1, 0x18 -/* B6D628 800F6488 00031E03 */ sra $v1, $v1, 0x18 -.L800F648C: -/* B6D62C 800F648C 01E31023 */ subu $v0, $t7, $v1 -/* B6D630 800F6490 3C016303 */ lui $at, 0x6303 -/* B6D634 800F6494 00412025 */ or $a0, $v0, $at -/* B6D638 800F6498 0C03E803 */ jal Audio_QueueSeqCmd -/* B6D63C 800F649C AFA20028 */ sw $v0, 0x28($sp) -/* B6D640 800F64A0 8FA20028 */ lw $v0, 0x28($sp) -/* B6D644 800F64A4 3C016303 */ lui $at, (0x63030100 >> 16) # lui $at, 0x6303 -/* B6D648 800F64A8 34210100 */ ori $at, (0x63030100 & 0xFFFF) # ori $at, $at, 0x100 -/* B6D64C 800F64AC 0C03E803 */ jal Audio_QueueSeqCmd -/* B6D650 800F64B0 00412025 */ or $a0, $v0, $at -.L800F64B4: -/* B6D654 800F64B4 3C038017 */ lui $v1, %hi(D_8016B9D8) # $v1, 0x8017 -/* B6D658 800F64B8 2463B9D8 */ addiu $v1, %lo(D_8016B9D8) # addiu $v1, $v1, -0x4628 -/* B6D65C 800F64BC 90620000 */ lbu $v0, ($v1) -/* B6D660 800F64C0 2841000A */ slti $at, $v0, 0xa -/* B6D664 800F64C4 10200002 */ beqz $at, .L800F64D0 -/* B6D668 800F64C8 24580001 */ addiu $t8, $v0, 1 -/* B6D66C 800F64CC A0780000 */ sb $t8, ($v1) -.L800F64D0: -/* B6D670 800F64D0 8FBF001C */ lw $ra, 0x1c($sp) -/* B6D674 800F64D4 27BD0030 */ addiu $sp, $sp, 0x30 -/* B6D678 800F64D8 03E00008 */ jr $ra -/* B6D67C 800F64DC 00000000 */ nop - diff --git a/data/code_800EC960.data.s b/data/code_800EC960.data.s index 1013a9eef2..8826f96ea2 100644 --- a/data/code_800EC960.data.s +++ b/data/code_800EC960.data.s @@ -52,10 +52,7 @@ glabel D_801305F4 .incbin "baserom.z64", 0xBA7794, 0x4 glabel D_801305F8 - .incbin "baserom.z64", 0xBA7798, 0x7 - -glabel D_801305FF - .incbin "baserom.z64", 0xBA779F, 0x1 + .incbin "baserom.z64", 0xBA7798, 0x8 glabel D_80130600 .incbin "baserom.z64", 0xBA77A0, 0x4 @@ -181,10 +178,7 @@ glabel sPlaybackState .incbin "baserom.z64", 0xBA80D8, 0x4 glabel D_80130F3C - .incbin "baserom.z64", 0xBA80DC, 0x2 - -glabel D_80130F3E - .incbin "baserom.z64", 0xBA80DE, 0x2 + .incbin "baserom.z64", 0xBA80DC, 0x4 glabel sNotePlaybackTimer .incbin "baserom.z64", 0xBA80E0, 0x4 @@ -331,33 +325,18 @@ glabel D_80131CAC .incbin "baserom.z64", 0xBA8E4C, 0x15C glabel D_80131E08 - .incbin "baserom.z64", 0xBA8FA8, 0xC - -glabel D_80131E14 - .incbin "baserom.z64", 0xBA8FB4, 0xC + .incbin "baserom.z64", 0xBA8FA8, 0x18 glabel D_80131E20 - .incbin "baserom.z64", 0xBA8FC0, 0x4 - -glabel D_80131E24 - .incbin "baserom.z64", 0xBA8FC4, 0x14 + .incbin "baserom.z64", 0xBA8FC0, 0x18 glabel D_80131E38 .incbin "baserom.z64", 0xBA8FD8, 0xB - -glabel D_80131E43 - .incbin "baserom.z64", 0xBA8FE3, 0x16 - -glabel D_80131E59 + .incbin "baserom.z64", 0xBA8FE3, 0xB + .incbin "baserom.z64", 0xBA8FEE, 0xB .incbin "baserom.z64", 0xBA8FF9, 0xB - -glabel D_80131E64 .incbin "baserom.z64", 0xBA9004, 0xB - -glabel D_80131E6F .incbin "baserom.z64", 0xBA900F, 0xB - -glabel D_80131E7A .incbin "baserom.z64", 0xBA901A, 0xE glabel D_80131E88 @@ -378,10 +357,10 @@ glabel D_80131EC8 glabel D_80131ED0 .incbin "baserom.z64", 0xBA9070, 0x4 -glabel D_80131ED4 +glabel sAudioScreenPrintInd .incbin "baserom.z64", 0xBA9074, 0x4 -glabel D_80131ED8 +glabel sAudioScreenPrintOverflow .incbin "baserom.z64", 0xBA9078, 0x4 glabel D_80131EDC @@ -391,10 +370,7 @@ glabel D_80131EE0 .incbin "baserom.z64", 0xBA9080, 0x4 glabel D_80131EE4 - .incbin "baserom.z64", 0xBA9084, 0xA - -glabel D_80131EEE - .incbin "baserom.z64", 0xBA908E, 0x2 + .incbin "baserom.z64", 0xBA9084, 0xC glabel D_80131EF0 .incbin "baserom.z64", 0xBA9090, 0xC diff --git a/data/rsp.rodata.s b/data/rsp.rodata.s index 21453d2a5b..2dd4535a96 100644 --- a/data/rsp.rodata.s +++ b/data/rsp.rodata.s @@ -21,8 +21,9 @@ glabel gSequenceTable glabel gAudioTable .incbin "baserom.z64", 0xBCCD90, 0x80 -glabel D_80155C70 +glabel rspAspMainDataStart .incbin "baserom.z64", 0xBCCE10, 0x2E0 +glabel rspAspMainDataEnd glabel D_80155F50 .incbin "baserom.z64", 0xBCD0F0, 0x1630 diff --git a/include/functions.h b/include/functions.h index 69a58be12a..9914d8f6f2 100644 --- a/include/functions.h +++ b/include/functions.h @@ -1893,7 +1893,7 @@ void UCodeDisas_RegisterUCode(UCodeDisas*, s32, UCodeInfo*); void UCodeDisas_SetCurUCode(UCodeDisas*, void*); // ? func_800DACC0(?); // ? func_800DB03C(?); -// ? AudioSynth_Update(?); +Acmd* AudioSynth_Update(Acmd* cmdStart, s32* cmdCnt, s16* aiStart, s32 aiBufLen); // ? func_800DB2C0(?); // ? func_800DB330(?); // ? func_800DB3D8(?); @@ -2113,7 +2113,7 @@ void func_800EEA50(GfxPrint* printer); // ? func_800F28B4(?); // ? func_800F29FC(?); // ? func_800F2A04(?); -void func_800F2D6C(s8*, u16 sfxId); +void func_800F2D6C(const s8* str, u16 num); // ? func_800F2E28(?); void func_800F3054(void); // ? func_800F3188(?); @@ -2211,7 +2211,7 @@ void func_800F87A0(u8); void func_800F8884(u8, Vec3f*); void func_800F89A0(u8, Vec3f*); void func_800F89E8(Vec3f*); -// ? func_800F9280(?); +void func_800F9280(u8 seqIdx, u8 seqId, u8 arg2, u16 fadeTimer); void Audio_QueueSeqCmd(u32 bgmID); u16 func_800FA0B4(u8 a0); s32 func_800FA11C(u32, u32); diff --git a/include/ultra64/abi.h b/include/ultra64/abi.h index 635ed92e95..dabbf26d62 100644 --- a/include/ultra64/abi.h +++ b/include/ultra64/abi.h @@ -46,10 +46,10 @@ #define A_UNK17 23 #define A_UNK19 25 #define ACMD_SIZE 32 + /* * Audio flags */ - #define A_INIT 0x01 #define A_CONTINUE 0x00 #define A_LOOP 0x02 @@ -280,116 +280,124 @@ typedef short ENVMIX_STATE[40]; * Macros to assemble the audio command list */ -#define aADPCMdec(pkt, f, s) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_ADPCM, 24, 8) | _SHIFTL(f, 16, 8); \ - _a->words.w1 = (unsigned int)(s); \ - } +#define aADPCMdec(pkt, f, s) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_ADPCM, 24, 8) | _SHIFTL(f, 16, 8); \ + _a->words.w1 = (unsigned int)(s); \ +} -#define aPoleFilter(pkt, f, g, s) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = (_SHIFTL(A_POLEF, 24, 8) | _SHIFTL(f, 16, 8) | \ - _SHIFTL(g, 0, 16)); \ - _a->words.w1 = (unsigned int)(s); \ - } +#define aPoleFilter(pkt, f, g, s) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_POLEF, 24, 8) | _SHIFTL(f, 16, 8) | \ + _SHIFTL(g, 0, 16)); \ + _a->words.w1 = (unsigned int)(s); \ +} -#define aHiLoGain(pkt, gain, count, dmem, a4) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_HILOGAIN, 24, 8) | _SHIFTL(gain, 16, 8) | _SHIFTL(count, 0, 16); \ - _a->words.w1 = _SHIFTL(dmem, 16, 16) | _SHIFTL(a4, 0, 16); \ - } +#define aHiLoGain(pkt, gain, count, dmem, a4) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_HILOGAIN, 24, 8) | \ + _SHIFTL(gain, 16, 8) | _SHIFTL(count, 0, 16)); \ + _a->words.w1 = _SHIFTL(dmem, 16, 16) | _SHIFTL(a4, 0, 16); \ +} -#define aUnkCmd3(pkt, a1, a2, a3) \ - { \ - Acmd *_a = (Acmd *)pkt;\ - \ - _a->words.w0 = _SHIFTL(A_UNK3, 24, 8) | _SHIFTL(a3, 0, 16); \ - _a->words.w1 = _SHIFTL(a1, 16, 16) | _SHIFTL(a2, 0, 16); \ - } +#define aUnkCmd3(pkt, a1, a2, a3) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_UNK3, 24, 8) | _SHIFTL(a3, 0, 16); \ + _a->words.w1 = _SHIFTL(a1, 16, 16) | _SHIFTL(a2, 0, 16); \ +} #define aUnkCmd19(pkt, a1, a2, a3, a4) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_UNK19, 24, 8) | _SHIFTL(a1, 16, 8) | _SHIFTL(a2, 0, 16); \ - _a->words.w1 = _SHIFTL(a3, 16, 16) | _SHIFTL(a4, 0, 16); \ - } +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_UNK19, 24, 8) | _SHIFTL(a1, 16, 8) | \ + _SHIFTL(a2, 0, 16)); \ + _a->words.w1 = _SHIFTL(a3, 16, 16) | _SHIFTL(a4, 0, 16); \ +} -#define aUnkCmd17(pkt, a1, a2) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_UNK17, 24, 8) | _SHIFTL(a1, 16, 8); \ - _a->words.w1 = (unsigned int)(a2); \ - } +#define aUnkCmd17(pkt, a1, a2) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_UNK17, 24, 8) | _SHIFTL(a1, 16, 8); \ + _a->words.w1 = (unsigned int)(a2); \ +} #define aClearBuffer(pkt, d, c) \ - { \ +{ \ Acmd *_a = (Acmd *)pkt; \ \ _a->words.w0 = _SHIFTL(A_CLEARBUFF, 24, 8) | _SHIFTL(d, 0, 24); \ _a->words.w1 = (unsigned int)(c); \ - } +} /* -#define aEnvMixer(pkt, f, s) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_ENVMIXER, 24, 8) | _SHIFTL(f, 16, 8); \ - _a->words.w1 = (unsigned int)(s); \ - } +#define aEnvMixer(pkt, f, s) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_ENVMIXER, 24, 8) | _SHIFTL(f, 16, 8); \ + _a->words.w1 = (unsigned int)(s); \ +} */ extern u32 D_801304A0; -#define aEnvMixer(pkt, dmemi, count, swapLR, x0, x1, x2, x3, m) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - _a->words.w0 = D_801304A0 | _SHIFTL(dmemi >> 4, 16, 8) | _SHIFTL(count, 8, 8) | \ - _SHIFTL(swapLR, 4, 1) | _SHIFTL(x0, 3, 1) | _SHIFTL(x1, 2, 1) | _SHIFTL(x2, 1, 1) | _SHIFTL(x3, 0, 1); \ - _a->words.w1 = (unsigned int)(m); \ - } +#define aEnvMixer(pkt, dmemi, count, swapLR, x0, x1, x2, x3, m) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (D_801304A0 | _SHIFTL(dmemi >> 4, 16, 8) | \ + _SHIFTL(count, 8, 8) | _SHIFTL(swapLR, 4, 1) | \ + _SHIFTL(x0, 3, 1) | _SHIFTL(x1, 2, 1) | \ + _SHIFTL(x2, 1, 1) | _SHIFTL(x3, 0, 1)); \ + _a->words.w1 = (unsigned int)(m); \ +} /* -#define aInterleave(pkt, l, r) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_INTERLEAVE, 24, 8); \ - _a->words.w1 = _SHIFTL(l, 16, 16) | _SHIFTL(r, 0, 16); \ - } +#define aInterleave(pkt, l, r) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_INTERLEAVE, 24, 8); \ + _a->words.w1 = _SHIFTL(l, 16, 16) | _SHIFTL(r, 0, 16); \ +} */ -#define aInterleave(pkt, count, d, l, r) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_INTERLEAVE, 24, 8) | _SHIFTL(count >> 4, 16, 8) | _SHIFTL(d, 0, 16); \ - _a->words.w1 = _SHIFTL(l, 16, 16) | _SHIFTL(r, 0, 16); \ - } +#define aInterleave(pkt, count, d, l, r) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_INTERLEAVE, 24, 8) | \ + _SHIFTL(count >> 4, 16, 8) | _SHIFTL(d, 0, 16)); \ + _a->words.w1 = _SHIFTL(l, 16, 16) | _SHIFTL(r, 0, 16); \ +} -#define aInterl(pkt, dmemi, dmemo, count) \ - { \ - Acmd *_a = (Acmd*)pkt; \ - _a->words.w0 = _SHIFTL(A_INTERL, 24, 8) | _SHIFTL(count, 0, 16); \ - _a->words.w1 = _SHIFTL(dmemi, 16, 16) | _SHIFTL(dmemo, 0, 16); \ - } +#define aInterl(pkt, dmemi, dmemo, count) \ +{ \ + Acmd *_a = (Acmd*)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_INTERL, 24, 8) | \ + _SHIFTL(count, 0, 16)); \ + _a->words.w1 = _SHIFTL(dmemi, 16, 16) | _SHIFTL(dmemo, 0, 16); \ +} /* -#define aLoadBuffer(pkt, s) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_LOADBUFF, 24, 8); \ - _a->words.w1 = (unsigned int)(s); \ - } +#define aLoadBuffer(pkt, s) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_LOADBUFF, 24, 8); \ + _a->words.w1 = (unsigned int)(s); \ +} */ #define aLoadBuffer(pkt, s, d, c) \ @@ -398,44 +406,44 @@ extern u32 D_801304A0; \ _a->words.w0 = _SHIFTL(A_LOADBUFF, 24, 8) | \ _SHIFTL((c) >> 4, 16, 8) | _SHIFTL(d, 0, 16); \ - _a->words.w1 = (unsigned int)(s); \ + _a->words.w1 = (unsigned int)(s); \ } -#define aMix(pkt, f, g, i, o) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = (_SHIFTL(A_MIXER, 24, 8) | _SHIFTL(f, 16, 8) | \ - _SHIFTL(g, 0, 16)); \ - _a->words.w1 = _SHIFTL(i, 16, 16) | _SHIFTL(o, 0, 16); \ - } +#define aMix(pkt, f, g, i, o) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_MIXER, 24, 8) | _SHIFTL(f, 16, 8) | \ + _SHIFTL(g, 0, 16)); \ + _a->words.w1 = _SHIFTL(i, 16, 16) | _SHIFTL(o, 0, 16); \ +} -#define aPan(pkt, f, d, s) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = (_SHIFTL(A_PAN, 24, 8) | _SHIFTL(f, 16, 8) | \ - _SHIFTL(d, 0, 16)); \ - _a->words.w1 = (unsigned int)(s); \ - } +#define aPan(pkt, f, d, s) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_PAN, 24, 8) | _SHIFTL(f, 16, 8) | \ + _SHIFTL(d, 0, 16)); \ + _a->words.w1 = (unsigned int)(s); \ +} -#define aResample(pkt, f, p, s) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = (_SHIFTL(A_RESAMPLE, 24, 8) | _SHIFTL(f, 16, 8) | \ - _SHIFTL(p, 0, 16)); \ - _a->words.w1 = (unsigned int)(s); \ - } +#define aResample(pkt, f, p, s) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_RESAMPLE, 24, 8) | \ + _SHIFTL(f, 16, 8) | _SHIFTL(p, 0, 16)); \ + _a->words.w1 = (unsigned int)(s); \ +} /* -#define aSaveBuffer(pkt, s) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_SAVEBUFF, 24, 8); \ - _a->words.w1 = (unsigned int)(s); \ - } +#define aSaveBuffer(pkt, s) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_SAVEBUFF, 24, 8); \ + _a->words.w1 = (unsigned int)(s); \ +} */ #define aSaveBuffer(pkt, s, d, c) \ @@ -444,58 +452,67 @@ extern u32 D_801304A0; \ _a->words.w0 = _SHIFTL(A_SAVEBUFF, 24, 8) | \ _SHIFTL((c) >> 4, 16, 8) | _SHIFTL(s, 0, 16); \ - _a->words.w1 = (unsigned int)(d); \ + _a->words.w1 = (unsigned int)(d); \ } -#define aSegment(pkt, s, b) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_SEGMENT, 24, 8); \ - _a->words.w1 = _SHIFTL(s, 24, 8) | _SHIFTL(b, 0, 24); \ - } +#define aSegment(pkt, s, b) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_SEGMENT, 24, 8); \ + _a->words.w1 = _SHIFTL(s, 24, 8) | _SHIFTL(b, 0, 24); \ +} #define aSetBuffer(pkt, f, i, o, c) \ - { \ +{ \ Acmd *_a = (Acmd *)pkt; \ \ _a->words.w0 = (_SHIFTL(A_SETBUFF, 24, 8) | _SHIFTL(f, 16, 8) | \ _SHIFTL(i, 0, 16)); \ _a->words.w1 = _SHIFTL(o, 16, 16) | _SHIFTL(c, 0, 16); \ - } +} -#define aSetVolume(pkt, f, v, t, r) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = (_SHIFTL(A_SETVOL, 24, 8) | _SHIFTL(f, 16, 16) | \ - _SHIFTL(v, 0, 16)); \ - /* had to change this otherwise it doesn't want to match */ \ - _a->words.w1 = (unsigned int)(r); /*_SHIFTL(r, 0, 16)|_SHIFTL(t, 16, 16) ;*/ \ - } +#define aSetVolume(pkt, f, v, t, r) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_SETVOL, 24, 8) | _SHIFTL(f, 16, 16) | \ + _SHIFTL(v, 0, 16)); \ + _a->words.w1 = _SHIFTL(r, 0, 16) | _SHIFTL(t, 16, 16); \ +} -#define aSetLoop(pkt, a) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - _a->words.w0 = _SHIFTL(A_SETLOOP, 24, 8); \ - _a->words.w1 = (unsigned int)(a); \ - } +#define aSetVolume32(pkt, f, v, tr) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_SETVOL, 24, 8) | _SHIFTL(f, 16, 16) | \ + _SHIFTL(v, 0, 16)); \ + _a->words.w1 = (unsigned int)(tr); \ +} -#define aDMEMMove(pkt, i, o, c) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - \ - _a->words.w0 = _SHIFTL(A_DMEMMOVE, 24, 8) | _SHIFTL(i, 0, 24); \ - _a->words.w1 = _SHIFTL(o, 16, 16) | _SHIFTL(c, 0, 16); \ - } +#define aSetLoop(pkt, a) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_SETLOOP, 24, 8); \ + _a->words.w1 = (unsigned int)(a); \ +} + +#define aDMEMMove(pkt, i, o, c) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = _SHIFTL(A_DMEMMOVE, 24, 8) | _SHIFTL(i, 0, 24); \ + _a->words.w1 = _SHIFTL(o, 16, 16) | _SHIFTL(c, 0, 16); \ +} #define aLoadADPCM(pkt, c, d) \ - { \ +{ \ Acmd *_a = (Acmd *)pkt; \ \ _a->words.w0 = _SHIFTL(A_LOADADPCM, 24, 8) | _SHIFTL(c, 0, 24); \ _a->words.w1 = (unsigned int)d; \ - } +} @@ -523,28 +540,34 @@ extern u32 D_801304A0; \ _a->words.w0 = _SHIFTL(A_FILTER, 24, 8) | _SHIFTL(f, 16, 8) | \ _SHIFTL(countOrBuf, 0, 16); \ - _a->words.w1 = (unsigned int)(addr); \ + _a->words.w1 = (unsigned int)(addr); \ } -#define aDuplicate(pkt, count, dmemi, dmemo, a4) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - _a->words.w0 = _SHIFTL(A_DUPLICATE, 24, 8) | _SHIFTL(count, 16, 8) | _SHIFTL(dmemi, 0, 16); \ - _a->words.w1 = _SHIFTL(dmemo, 16, 16) | _SHIFTL(a4, 0, 16); \ - } +#define aDuplicate(pkt, count, dmemi, dmemo, a4) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_DUPLICATE, 24, 8) | \ + _SHIFTL(count, 16, 8) | _SHIFTL(dmemi, 0, 16)); \ + _a->words.w1 = _SHIFTL(dmemo, 16, 16) | _SHIFTL(a4, 0, 16); \ +} -#define aAddMixer(pkt, count, dmemi, dmemo, a4) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - _a->words.w0 = _SHIFTL(A_ADDMIXER, 24, 8) | _SHIFTL(count >> 4, 16, 8) | _SHIFTL(a4, 0, 16); \ - _a->words.w1 = _SHIFTL(dmemi, 16, 16) | _SHIFTL(dmemo, 0, 16); \ - } +#define aAddMixer(pkt, count, dmemi, dmemo, a4) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_ADDMIXER, 24, 8) | \ + _SHIFTL(count >> 4, 16, 8) | _SHIFTL(a4, 0, 16)); \ + _a->words.w1 = _SHIFTL(dmemi, 16, 16) | _SHIFTL(dmemo, 0, 16); \ +} -#define aResampleZOH(pkt, pitch, pitchAccu) \ - { \ - Acmd *_a = (Acmd *)pkt; \ - _a->words.w0 = _SHIFTL(A_RESAMPLE_ZOH, 24, 8) | _SHIFTL(pitch, 0, 16); \ - _a->words.w1 = _SHIFTL(pitchAccu, 0, 16); \ - } +#define aResampleZOH(pkt, pitch, pitchAccu) \ +{ \ + Acmd *_a = (Acmd *)pkt; \ + \ + _a->words.w0 = (_SHIFTL(A_RESAMPLE_ZOH, 24, 8) | \ + _SHIFTL(pitch, 0, 16)); \ + _a->words.w1 = _SHIFTL(pitchAccu, 0, 16); \ +} #endif /* _ULTRA64_ABI_H_ */ diff --git a/include/variables.h b/include/variables.h index 356f6eb1cd..6eba93284c 100644 --- a/include/variables.h +++ b/include/variables.h @@ -383,7 +383,6 @@ extern u8 D_80130594[4][7]; extern u8 D_801305D0[]; //extern ? D_801305E0; //extern ? D_801305F4; -//extern ? D_801305FF; //extern ? D_80130600; //extern ? D_80130604; //extern ? D_80130608; @@ -424,7 +423,6 @@ extern u8 D_801305D0[]; //extern ? D_80130F34; //extern ? sPlaybackState; //extern ? D_80130F3C; -//extern ? D_80130F3E; //extern ? sNotePlaybackTimer; //extern ? sPlaybackNotePos; //extern ? sStaffPlaybackPos; @@ -441,7 +439,6 @@ extern u8 D_801305D0[]; //extern ? sOcaMinigameEndPos; //extern ? sOcarinaSongs; //extern ? D_80131100; -//extern ? D_8013170C; //extern ? D_80131840; extern u8* gFrogsSongPtr; // D_80131854: pointer to a u8 array of the notes you need to play for the frogs //extern ? D_80131858; @@ -474,27 +471,19 @@ extern OcarinaSongInfo gOcarinaSongNotes[]; //extern ? D_80131CA8; //extern ? D_80131CAC; //extern ? D_80131E08; -//extern ? D_80131E14; //extern ? D_80131E20; -//extern ? D_80131E24; //extern ? D_80131E38; -//extern ? D_80131E43; -//extern ? D_80131E59; -//extern ? D_80131E64; -//extern ? D_80131E6F; -//extern ? D_80131E7A; //extern ? D_80131E88; //extern ? D_80131EBC; //extern ? D_80131EC0; //extern ? D_80131EC4; //extern ? D_80131EC8; //extern ? D_80131ED0; -//extern ? D_80131ED4; -//extern ? D_80131ED8; +//extern ? sAudioScreenPrintInd; +//extern ? sAudioScreenPrintOverflow; //extern ? D_80131EDC; //extern ? D_80131EE0; //extern ? D_80131EE4; -//extern ? D_80131EEE; //extern ? D_80131EFC; //extern ? D_80131F00; //extern ? D_80131F04; @@ -514,11 +503,9 @@ extern OcarinaSongInfo gOcarinaSongNotes[]; //extern ? D_80131F68; //extern ? D_80131F6C; extern Struct_8013331C *D_8013331C[]; -extern u8 D_80133340[4]; //extern ? D_80133344; -extern s32 D_8013338C; -extern UNK_TYPE D_80133390[]; -extern UNK_TYPE D_80133398[]; +extern char D_80133390[]; +extern char D_80133398[]; extern u8 D_801333A0; extern u8 D_801333A4; extern SoundBankEntry* gSoundBanks[7]; @@ -2988,7 +2975,6 @@ extern u8 gAudioBankTable[]; extern u8 D_80155340[]; extern u8 gSequenceTable[]; extern u8 gAudioTable[]; -//extern ? D_80155C70; extern u8 D_80155F50[]; extern u8 D_80157580[]; extern u8 D_801579A0[]; @@ -3237,7 +3223,7 @@ extern u8* D_8016B738[]; //extern ? D_8016B7D8; //extern ? D_8016B7DC; //extern ? D_8016B7E0; -//extern ? D_8016B7E8; +//extern ? sAudioScreenPrintBuf; //extern ? D_8016B8B0; //extern ? D_8016B8B1; //extern ? D_8016B8B2; @@ -3312,7 +3298,7 @@ extern AudioContext gAudioContext; // at 0x8016F180 //extern ? D_80174DB8; //extern ? D_80174DBC; //extern ? D_80174DC0; -extern void(*D_801755D0)(void); // not sure about arguments yet. +extern void(*D_801755D0)(void); extern u32 __osMalloc_FreeBlockTest_Enable; //extern ? D_80175640; //extern ? D_80175670; diff --git a/include/z64audio.h b/include/z64audio.h index 1cf82689e9..bdffee5ae6 100644 --- a/include/z64audio.h +++ b/include/z64audio.h @@ -895,16 +895,13 @@ typedef struct { /* 0x1D50 */ AudioSyncLoad syncLoads[2]; /* 0x1E18 */ OSPiHandle* cartHandle; /* probably an unused PI handle for n64 disk drive */ - /* 0x1E1C */ OSPiHandle* unk_1E1C; + /* 0x1E1C */ OSPiHandle* unk_1E1C; /* 0x1E20 */ OSMesgQueue unk_1E20; - /* 0x1E38 */ OSMesg unk_1E38; - /* 0x1E38 */ char unk_1E3C[0x3C]; + /* 0x1E38 */ OSMesg unk_1E38[0x10]; /* 0x1E78 */ OSMesgQueue unk_1E78; - /* 0x1E90 */ OSMesg unk_1E90; - /* 0x1E94 */ char unk_1E94[0x3C]; + /* 0x1E90 */ OSMesg unk_1E90[0x10]; /* 0x1ED0 */ OSMesgQueue unk_1ED0; - /* 0x1EE8 */ OSMesg unk_1EE8; - /* 0x1EEC */ char unk_1EEC[0xFC]; + /* 0x1EE8 */ OSMesg unk_1EE8[0x40]; /* 0x1FE8 */ OSIoMesg sampIoReq[1]; // unknown size /* 0x2000 */ char unk_2000[0x5E8]; /* 0x25E8 */ OSMesgQueue unk_25E8; @@ -943,8 +940,8 @@ typedef struct { /* 0x28A0 */ s32 sampleIoReqIdx; /* 0x28A4 */ s32 rspTaskIdx; /* 0x28A8 */ s32 curAIBufIdx; - /* 0x28AC */ u64* abiCmdBufs[2]; - /* 0x28B4 */ u64* curAbiCmdBuf; + /* 0x28AC */ Acmd* abiCmdBufs[2]; + /* 0x28B4 */ Acmd* curAbiCmdBuf; /* 0x28B8 */ AudioTask* currTask; /* 0x28BC */ char unk_28BC[0x4]; /* 0x28C0 */ AudioTask rspTask[2]; @@ -977,7 +974,7 @@ typedef struct { /* 0x342C */ AudioPoolSplit3 temporaryCommonPoolSplit; /* 0x3438 */ u8 audioTableLoadStatus[0x30]; /* 0x3468 */ u8 bankLoadStatus[0x30]; - /* 0x3498 */ u8 seqLoadstatus[0x80]; + /* 0x3498 */ u8 seqLoadStatus[0x80]; /* 0x3518 */ volatile u8 resetStatus; /* 0x3519 */ u8 audioResetPresetIdToLoad; /* 0x351C */ s32 audioResetFadeOutFramesLeft; @@ -990,7 +987,7 @@ typedef struct { /* 0x5AB0 */ SequenceChannel sequenceChannelNone; /* 0x5B84 */ s32 noteSubEuOffset; /* 0x5B88 */ AudioListItem layerFreeList; - /* 0x5B98 */ NotePool noteFreeLists; + /* 0x5B98 */ NotePool noteFreeLists; /* 0x5BD8 */ u8 cmdWrPos; /* 0x5BD9 */ u8 cmdRdPos; /* 0x5BDA */ u8 cmdQueueFinished; @@ -1056,7 +1053,7 @@ typedef struct { /* 0x002C */ u32 unk_2C[8]; /* 0x004C */ u8 unk_4C; /* 0x004D */ u8 unk_4D; - /* 0x004E */ u8 unk_4E; + /* 0x004E */ u8 unk_4E; /* 0x004F */ char unk_4F; /* 0x0050 */ unk_50_s unk_50[0x10]; /* 0x0250 */ u16 unk_250; @@ -1090,7 +1087,7 @@ typedef struct { /* 0x18 */ s8* unk_18; /* 0x1C */ f32 unk_1C; /* 0x20 */ u32 unk_20; - /* 0x24 */ u8 unk_24; + /* 0x24 */ u8 unk_24; /* 0x26 */ u16 unk_26; /* 0x28 */ u16 unk_28; // "flag" /* 0x2A */ u8 unk_2A; @@ -1103,7 +1100,7 @@ typedef struct { /* * SFX IDs - * + * * index 0000000111111111 observed in audio code * & 200 0000001000000000 single bit * & 400 0000010000000000 single bit @@ -1113,10 +1110,9 @@ typedef struct { * & C00 0000110000000000 2 bits, observed in audio code * & E00 0000111000000000 all 3 bits * bank 1111000000000000 observed in audio code - * */ -#define SFX_BANK_SHIFT(sfxId) (((sfxId) >> 0xC) & 0xFF) +#define SFX_BANK_SHIFT(sfxId) (((sfxId) >> 12) & 0xFF) #define SFX_BANK_MASK(sfxId) ((sfxId) & 0xF000) diff --git a/src/code/audio_heap.c b/src/code/audio_heap.c index 2c83fd511e..70bb628055 100644 --- a/src/code/audio_heap.c +++ b/src/code/audio_heap.c @@ -45,8 +45,8 @@ void Audio_ResetLoadStatus(void) { } for (i = 0; i < 0x80; i++) { - if (gAudioContext.seqLoadstatus[i] != 5) { - gAudioContext.seqLoadstatus[i] = 0; + if (gAudioContext.seqLoadStatus[i] != 5) { + gAudioContext.seqLoadStatus[i] = 0; } } } @@ -207,7 +207,7 @@ void func_800DE4B0(s32 poolIdx) { switch (poolIdx) { case 0: loadedPool = &gAudioContext.seqLoadedPool; - table = gAudioContext.seqLoadstatus; + table = gAudioContext.seqLoadStatus; break; case 1: loadedPool = &gAudioContext.bankLoadedPool; @@ -304,7 +304,7 @@ void* Audio_AllocBankOrSeq(s32 poolIdx, s32 size, s32 arg2, s32 id) { switch (poolIdx) { case 0: loadedPool = &gAudioContext.seqLoadedPool; - table = gAudioContext.seqLoadstatus; + table = gAudioContext.seqLoadStatus; break; case 1: loadedPool = &gAudioContext.bankLoadedPool; diff --git a/src/code/audio_load.c b/src/code/audio_load.c index 3d6da12c92..a5e2b83a70 100644 --- a/src/code/audio_load.c +++ b/src/code/audio_load.c @@ -74,19 +74,19 @@ void func_800E11F0(void) { #pragma GLOBAL_ASM("asm/non_matchings/code/audio_load/func_800E12DC.s") -#ifdef NON_MATCHING void func_800E1618(s32 arg0) { SampleDmaReq* temp_s0; s32 i; s32 t2; + s32 j; gAudioContext.unk_288C = gAudioContext.unk_2874; gAudioContext.sampleDmaReqs = Audio_Alloc(&gAudioContext.notesAndBuffersPool, - (gAudioContext.maxSimultaneousNotes * 0x40) * gAudioContext.audioBufferParameters.presetUnk4); - t2 = gAudioContext.maxSimultaneousNotes * 3 * gAudioContext.audioBufferParameters.presetUnk4; + 4 * gAudioContext.maxSimultaneousNotes * sizeof(SampleDmaReq) * gAudioContext.audioBufferParameters.presetUnk4); + t2 = 3 * gAudioContext.maxSimultaneousNotes * gAudioContext.audioBufferParameters.presetUnk4; for (i = 0; i < t2; i++) { - SampleDmaReq* temp_s0 = &gAudioContext.sampleDmaReqs[gAudioContext.sampleDmaReqCnt]; + temp_s0 = &gAudioContext.sampleDmaReqs[gAudioContext.sampleDmaReqCnt]; temp_s0->ramAddr = func_800DE2B0(&gAudioContext.notesAndBuffersPool, gAudioContext.unk_288C); if (temp_s0->ramAddr == NULL) { break; @@ -115,8 +115,8 @@ void func_800E1618(s32 arg0) { gAudioContext.unk_2624 = gAudioContext.sampleDmaReqCnt; gAudioContext.unk_288C = gAudioContext.unk_2878; - for (i = 0; i < gAudioContext.maxSimultaneousNotes; i++) { - SampleDmaReq* temp_s0 = &gAudioContext.sampleDmaReqs[gAudioContext.sampleDmaReqCnt]; + for (j = 0; j < gAudioContext.maxSimultaneousNotes; j++) { + temp_s0 = &gAudioContext.sampleDmaReqs[gAudioContext.sampleDmaReqCnt]; temp_s0->ramAddr = func_800DE2B0(&gAudioContext.notesAndBuffersPool, gAudioContext.unk_288C); if (temp_s0->ramAddr == NULL) { break; @@ -132,9 +132,8 @@ void func_800E1618(s32 arg0) { } for (i = gAudioContext.unk_2624; i < gAudioContext.sampleDmaReqCnt; i++) { - SampleDmaReq* temp_s0 = &gAudioContext.sampleDmaReqs[i + gAudioContext.unk_2624]; - gAudioContext.unk_272C[i - gAudioContext.unk_2624] = gAudioContext.unk_2624; - temp_s0->unk_0D = i - gAudioContext.unk_2624; + gAudioContext.unk_272C[i - gAudioContext.unk_2624] = i; + gAudioContext.sampleDmaReqs[i].unk_0D = i - gAudioContext.unk_2624; } for (i = gAudioContext.sampleDmaReqCnt; i < 0x100; i++) { @@ -144,9 +143,6 @@ void func_800E1618(s32 arg0) { gAudioContext.unk_282D = 0; gAudioContext.unk_282F = gAudioContext.sampleDmaReqCnt - gAudioContext.unk_2624; } -#else -#pragma GLOBAL_ASM("asm/non_matchings/code/audio_load/func_800E1618.s") -#endif s32 Audio_IsBankLoadComplete(s32 bankId) { if (bankId == 0xFF) { @@ -162,10 +158,10 @@ s32 Audio_IsBankLoadComplete(s32 bankId) { s32 Audio_IsSeqLoadComplete(s32 seqId) { if (seqId == 0xFF) { - return 1; - } else if (gAudioContext.seqLoadstatus[seqId] >= 2) { - return 1; - } else if (gAudioContext.seqLoadstatus[Audio_GetTableIndex(SEQUENCE_TABLE, seqId)] >= 2) { + return true; + } else if (gAudioContext.seqLoadStatus[seqId] >= 2) { + return true; + } else if (gAudioContext.seqLoadStatus[Audio_GetTableIndex(SEQUENCE_TABLE, seqId)] >= 2) { return true; } else { return false; @@ -191,8 +187,8 @@ void Audio_SetBankLoadStatus(s32 bankId, s32 status) { } void Audio_SetSeqLoadStatus(s32 seqId, s32 status) { - if ((seqId != 0xFF) && (gAudioContext.seqLoadstatus[seqId] != 5)) { - gAudioContext.seqLoadstatus[seqId] = status; + if ((seqId != 0xFF) && (gAudioContext.seqLoadStatus[seqId] != 5)) { + gAudioContext.seqLoadStatus[seqId] = status; } } @@ -208,7 +204,7 @@ void func_800E1A78(s32 arg0, s32 arg1) { } } -void Aduio_SetAudtabLoadstatus(s32 tabId, s32 status) { +void Audio_SetAudtabLoadStatus(s32 tabId, s32 status) { if ((tabId != 0xFF) && (gAudioContext.audioTableLoadStatus[tabId] != 5)) { gAudioContext.audioTableLoadStatus[tabId] = status; } @@ -451,7 +447,7 @@ u8* func_800E22C4(s32 seqId) { s32 pad; s32 sp20; - if (gAudioContext.seqLoadstatus[Audio_GetTableIndex(0, seqId)] == 1) { + if (gAudioContext.seqLoadStatus[Audio_GetTableIndex(0, seqId)] == 1) { return NULL; } @@ -472,7 +468,7 @@ u32 func_800E2338(u32 arg0, u32* arg1, s32 arg2) { audioTable = Audio_GetLoadTable(2); if (temp_v0 = func_800E27A4(2, temp_s0), temp_v0 != NULL) { if (gAudioContext.audioTableLoadStatus[temp_s0] != 1) { - Aduio_SetAudtabLoadstatus(temp_s0, 2); + Audio_SetAudtabLoadStatus(temp_s0, 2); } *arg1 = 0; return temp_v0; @@ -829,7 +825,7 @@ void* Audio_AsyncLoadInner(s32 tableType, s32 arg1, s32 arg2, s32 arg3, OSMesgQu sp34 = Audio_GetTableIndex(tableType, arg1); switch (tableType) { case SEQUENCE_TABLE: - if (gAudioContext.seqLoadstatus[sp34] == 1) { + if (gAudioContext.seqLoadStatus[sp34] == 1) { return NULL; } break; @@ -991,9 +987,9 @@ void Audio_ContextInit(void* heap, u32 heapSize) { gAudioContext.rspTask[0].task.t.data_size = 0; gAudioContext.rspTask[1].task.t.data_size = 0; osCreateMesgQueue(&gAudioContext.unk_25E8, &gAudioContext.unk_2600, 1); - osCreateMesgQueue(&gAudioContext.unk_1ED0, &gAudioContext.unk_1EE8, 0x40); - osCreateMesgQueue(&gAudioContext.unk_1E20, &gAudioContext.unk_1E38, 0x10); - osCreateMesgQueue(&gAudioContext.unk_1E78, &gAudioContext.unk_1E90, 0x10); + osCreateMesgQueue(&gAudioContext.unk_1ED0, gAudioContext.unk_1EE8, 0x40); + osCreateMesgQueue(&gAudioContext.unk_1E20, gAudioContext.unk_1E38, 0x10); + osCreateMesgQueue(&gAudioContext.unk_1E78, gAudioContext.unk_1E90, 0x10); gAudioContext.sampleIoReqIdx = 0; gAudioContext.sampleDmaReqCnt = 0; gAudioContext.cartHandle = osCartRomInit(); diff --git a/src/code/audio_seqplayer.c b/src/code/audio_seqplayer.c index 7f86f0bc12..2c48d9b1ad 100644 --- a/src/code/audio_seqplayer.c +++ b/src/code/audio_seqplayer.c @@ -121,7 +121,7 @@ void Audio_SequenceChannelInit(SequenceChannel* seqChannel) { seqChannel->largeNotes = false; seqChannel->bookOffset = 0; seqChannel->reverbBits.asByte = 0; - seqChannel->changes.asByte = 0xff; + seqChannel->changes.asByte = 0xFF; seqChannel->scriptState.depth = 0; seqChannel->newPan = 0x40; seqChannel->panChannelWeight = 0x80; @@ -135,7 +135,7 @@ void Audio_SequenceChannelInit(SequenceChannel* seqChannel) { seqChannel->someOtherPriority = 1; seqChannel->delay = 0; seqChannel->adsr.envelope = gDefaultEnvelope; - seqChannel->adsr.releaseRate = 0xf0; + seqChannel->adsr.releaseRate = 0xF0; seqChannel->adsr.sustain = 0; seqChannel->vibratoRateTarget = 0x800; seqChannel->vibratoRateStart = 0x800; @@ -200,7 +200,7 @@ s32 Audio_SeqChannelSetLayer(SequenceChannel* seqChannel, s32 layerIdx) { layer->freqScale = 1.0f; layer->unk_34 = 1.0f; layer->velocitySquare2 = 0.0f; - layer->instOrWave = 0xff; + layer->instOrWave = 0xFF; return 0; } @@ -287,7 +287,7 @@ void Audio_SequencePlayerDisableAsFinished(SequencePlayer* seqPlayer) { } void Audio_SequencePlayerDisable(SequencePlayer* seqPlayer) { - Audio_SequencePlayerDisableChannels(seqPlayer, 0xffff); + Audio_SequencePlayerDisableChannels(seqPlayer, 0xFFFF); Audio_NotePoolClear(&seqPlayer->notePool); if (!seqPlayer->enabled) { return; @@ -363,7 +363,7 @@ s16 Audio_M64ReadS16(M64ScriptState* state) { u16 Audio_M64ReadCompressedU16(M64ScriptState* state) { u16 ret = *(state->pc++); if (ret & 0x80) { - ret = (ret << 8) & 0x7f00; + ret = (ret << 8) & 0x7F00; ret = *(state->pc++) | ret; } return ret; @@ -1640,9 +1640,9 @@ void Audio_SequencePlayerProcessSequence(SequencePlayer* seqPlayer) { case 0xCE: command = Audio_M64ReadU8(seqScript); if (command == 0) { - seqScript->value = gAudioContext.audioRandom / 4; + seqScript->value = (gAudioContext.audioRandom >> 2) & 0xFF; } else { - seqScript->value = (gAudioContext.audioRandom / 4) % command; + seqScript->value = (gAudioContext.audioRandom >> 2) % command; } break; case 0xCD: { diff --git a/src/code/audio_synthesis.c b/src/code/audio_synthesis.c index 3a183f480b..8e07ef0d89 100644 --- a/src/code/audio_synthesis.c +++ b/src/code/audio_synthesis.c @@ -12,6 +12,8 @@ Acmd* func_800DDB64(Acmd* cmd, NoteSubEu* noteSubEu, NoteSynthesisState* synthSt Acmd* func_800DD6CC(Acmd* cmd, NoteSubEu* noteSubEu, NoteSynthesisState* synthState, s32 aiBufLen, u16, s32, s32); Acmd* func_800DD62C(Acmd* cmd, NoteSynthesisState* synthState, s32 count, u16 pitch, u16 inpDmem, s32 resampleFlags); extern s16 D_8012FBAA[]; + +// 4 nops, part of ucode_disas? #pragma GLOBAL_ASM("asm/non_matchings/code/audio_synthesis/pad_800DACB0.s") void AudioSynth_InitNextRingBuf(s32 chunkSize, s32 bufIdx, s32 reverbIdx) { @@ -512,29 +514,26 @@ Acmd* func_800DC2DC(Acmd* cmd, SynthesisReverb* reverb, s16 bufIdx) { return cmd; } -#ifdef NON_MATCHING Acmd* func_800DC384(s16* aiBuf, s32 aiBufLen, Acmd* cmd, s32 updateIdx) { - NoteSubEu* phi_v0; - u8 sp9C[88]; + u8 sp9C[0x5C]; s16 phi_s2; s16 phi_s4; - s32 t; - s32 useReverb; SynthesisReverb* temp_t8; - u8 t2; + s32 useReverb; + s32 t; s32 i; + NoteSubEu* phi_v0; + NoteSubEu* phi_v0_2; s32 unk14; t = gAudioContext.maxSimultaneousNotes * updateIdx; phi_s2 = 0; if (gAudioContext.numSynthesisReverbs == 0) { for (i = 0; i < gAudioContext.maxSimultaneousNotes; i++) { - phi_v0 = &gAudioContext.noteSubsEu[t + i]; - if (phi_v0->bitField0.s.enabled) { + if (gAudioContext.noteSubsEu[t + i].bitField0.s.enabled) { sp9C[phi_s2++] = i; } } - i = 0; } else { for (phi_s4 = 0; phi_s4 < gAudioContext.numSynthesisReverbs; phi_s4++) { for (i = 0; i < gAudioContext.maxSimultaneousNotes; i++) { @@ -551,10 +550,10 @@ Acmd* func_800DC384(s16* aiBuf, s32 aiBufLen, Acmd* cmd, s32 updateIdx) { sp9C[phi_s2++] = i; } } - i = 0; } aClearBuffer(cmd++, 0x940, 0x340); + i = 0; for (phi_s4 = 0; phi_s4 < gAudioContext.numSynthesisReverbs; phi_s4++) { temp_t8 = &gAudioContext.synthesisReverbs[phi_s4]; useReverb = temp_t8->useReverb; @@ -582,10 +581,10 @@ Acmd* func_800DC384(s16* aiBuf, s32 aiBufLen, Acmd* cmd, s32 updateIdx) { } while (i < phi_s2) { - phi_v0 = &gAudioContext.noteSubsEu[sp9C[i] + t]; - if (phi_v0->bitField1.s.reverbIndex == phi_s4) { - cmd = func_800DC910(sp9C[i], phi_v0, &gAudioContext.notes[sp9C[i]].synthesisState, aiBuf, aiBufLen, cmd, - updateIdx); + phi_v0_2 = &gAudioContext.noteSubsEu[sp9C[i] + t]; + if (phi_v0_2->bitField1.s.reverbIndex == phi_s4) { + cmd = func_800DC910(sp9C[i], phi_v0_2, &gAudioContext.notes[sp9C[i]].synthesisState, aiBuf, aiBufLen, + cmd, updateIdx); } else { break; } @@ -619,9 +618,6 @@ Acmd* func_800DC384(s16* aiBuf, s32 aiBufLen, Acmd* cmd, s32 updateIdx) { return cmd; } -#else -#pragma GLOBAL_ASM("asm/non_matchings/code/audio_synthesis/func_800DC384.s") -#endif #ifdef NON_EQUIVALENT Acmd* func_800DC910(s32 noteIdx, NoteSubEu* noteSubEu, NoteSynthesisState* synthState, s16* aiBuf, s32 aiBufLen, @@ -1151,18 +1147,18 @@ Acmd* func_800DDB64(Acmd* cmd, NoteSubEu* noteSubEu, NoteSynthesisState* synthSt switch (arg5) { case 1: + phi_t0 = 0x940; phi_v1 = noteSubEu->headsetPanRight; phi_v0 = synthState->prevHeadsetPanRight; synthState->prevHeadsetPanLeft = 0; - synthState->prevHeadsetPanRight = noteSubEu->headsetPanRight; - phi_t0 = 0x940; + synthState->prevHeadsetPanRight = phi_v1; break; case 2: + phi_t0 = 0xAE0; phi_v1 = noteSubEu->headsetPanLeft; phi_v0 = synthState->prevHeadsetPanLeft; - synthState->prevHeadsetPanLeft = noteSubEu->headsetPanLeft; + synthState->prevHeadsetPanLeft = phi_v1; synthState->prevHeadsetPanRight = 0; - phi_t0 = 0xAE0; break; default: return cmd; @@ -1170,26 +1166,26 @@ Acmd* func_800DDB64(Acmd* cmd, NoteSubEu* noteSubEu, NoteSynthesisState* synthSt if (arg4 != 1) { if (phi_v0 != phi_v1) { - aSetBuffer(cmd++, 0, 0x5C0, 0x3C0, (arg3 + phi_v1) - phi_v0); - aResampleZOH(cmd++, (u16)((((arg3 << 0xF) / 2) - 1) / ((((arg3 + phi_v1) - phi_v0) - 2) / 2)), 0); + aSetBuffer(cmd++, 0, 0x5C0, 0x3C0, arg3 + phi_v1 - phi_v0); + aResampleZOH(cmd++, (u16)((((arg3 << 0xF) / 2) - 1) / ((arg3 + phi_v1 - phi_v0 - 2) / 2)), 0); } else { aDMEMMove(cmd++, 0x5C0, 0x3C0, arg3); } if (phi_v0 != 0) { aLoadBuffer(cmd++, &synthState->synthesisBuffers->panResampleState[0x8], 0x5C0, ALIGN16(phi_v0)); - aDMEMMove(cmd++, 0x3C0, phi_v0 + 0x5C0, (arg3 + phi_v1) - phi_v0); + aDMEMMove(cmd++, 0x3C0, 0x5C0 + phi_v0, arg3 + phi_v1 - phi_v0); } else { - aDMEMMove(cmd++, 0x3C0, 0x5C0, (arg3 + phi_v1)); + aDMEMMove(cmd++, 0x3C0, 0x5C0, arg3 + phi_v1); } } else { aDMEMMove(cmd++, 0x5C0, 0x3C0, arg3); aClearBuffer(cmd++, 0x5C0, phi_v1); - aDMEMMove(cmd++, 0x3C0, phi_v1 + 0x5C0, arg3); + aDMEMMove(cmd++, 0x3C0, 0x5C0 + phi_v1, arg3); } if (phi_v1 != 0) { - aSaveBuffer(cmd++, arg3 + 0x5C0, &synthState->synthesisBuffers->panResampleState[0x8], ALIGN16(phi_v1)); + aSaveBuffer(cmd++, 0x5C0 + arg3, &synthState->synthesisBuffers->panResampleState[0x8], ALIGN16(phi_v1)); } aAddMixer(cmd++, ((arg3 + 0x3F) & ~0x3F), 0x5C0, phi_t0, 0x7FFF); return cmd; diff --git a/src/code/code_800E4FE0.c b/src/code/code_800E4FE0.c index cd5382e6c6..494c6e5b5f 100644 --- a/src/code/code_800E4FE0.c +++ b/src/code/code_800E4FE0.c @@ -1,5 +1,8 @@ #include "global.h" +#define SAMPLES_TO_OVERPRODUCE 0x10 +#define EXTRA_BUFFERED_AI_SAMPLES_TARGET 0x80 + typedef enum { CHAN_UPD_UNK_0, // 0 CHAN_UPD_VOL_SCALE, // 1 @@ -32,90 +35,50 @@ AudioTask* func_800E4FE0(void) { return func_800E5000(); } -u32 D_801304E0 = 0x80; -AudioTask* D_801304E4 = NULL; +extern u64 rspAspMainDataStart[]; +extern u64 rspAspMainDataEnd[]; -extern u8 D_80155C70[]; -#ifdef NON_EQUIVALENT -// haven't verified Equivalency yet. +#ifdef NON_MATCHING AudioTask* func_800E5000(void) { - u32 sp6C; + static s32 sMaxAbiCmdCnt = 0x80; + static AudioTask* sWaitingAudioTask = NULL; + u32 samplesRemainingInAi; s32 abiCmdCnt; + s32 pad; + s32 j; s32 sp5C; - s16* sp58; + s16* currAiBuffer; // sp58 + OSTask_t* task; + s32 index; u32 sp4C; s32 sp48; - void* sp3C; - void* sp34; - OSTask_t* temp_v1_10; - OSMesgQueue* temp_s1; - s16 temp_a0_2; - s16 temp_v0_2; - s16 temp_v1; - s16 temp_v1_2; - s16 temp_v1_9; - s32 temp_a0; - s32 temp_a3; - s32 temp_a3_2; - s32 temp_hi; - s32 temp_s0; - s32 temp_s0_2; - s32 temp_s0_3; - s32 temp_s0_4; - s32 temp_s0_5; - s32 temp_t4; - s32 temp_t6; - s32 temp_t7; - s32 temp_v1_6; - s32 temp_v1_7; - s32 temp_v1_8; - u32 temp_t3; - u32 temp_t4_2; - void* temp_t0; - void* temp_v1_3; - void* temp_v1_4; - void* temp_v1_5; - s16 phi_v1; - s32 phi_a0; - void* phi_v1_2; - s32 phi_s0; - s32 phi_v1_3; - void* phi_v1_4; - s32 phi_s0_2; - s32 phi_v1_5; - s32 phi_s0_3; - s32 phi_s0_4; - void* phi_v1_6; - s32 phi_s0_5; s32 i; gAudioContext.totalTaskCnt++; if (gAudioContext.totalTaskCnt % (gAudioContext.audioBufferParameters.presetUnk4) != 0) { - if (D_801755D0 != 0) { + if (D_801755D0 != NULL) { D_801755D0(); } - if (gAudioContext.audioBufferParameters.presetUnk4 == - ((gAudioContext.totalTaskCnt % (gAudioContext.audioBufferParameters.presetUnk4)) + 1)) { - return D_801304E4; + if ((gAudioContext.totalTaskCnt % gAudioContext.audioBufferParameters.presetUnk4) + 1 == + gAudioContext.audioBufferParameters.presetUnk4) { + return sWaitingAudioTask; } else { return NULL; } } - osSendMesg(gAudioContext.taskStartQueueP, gAudioContext.totalTaskCnt, 0); - temp_t4 = gAudioContext.curAIBufIdx + 1; - temp_t6 = (gAudioContext.curAIBufIdx + 1) % 3; + osSendMesg(gAudioContext.taskStartQueueP, gAudioContext.totalTaskCnt, OS_MESG_NOBLOCK); gAudioContext.rspTaskIdx ^= 1; gAudioContext.curAIBufIdx++; gAudioContext.curAIBufIdx %= 3; - temp_hi = (gAudioContext.curAIBufIdx - 2 + 3) % 3; - sp6C = osAiGetLength() / 4; + index = (gAudioContext.curAIBufIdx - 2 + 3) % 3; + samplesRemainingInAi = osAiGetLength() / 4; + if (gAudioContext.resetTimer < 16) { - if (gAudioContext.aiBufLengths[temp_hi] != 0) { - sp34 = &gAudioContext + (temp_hi * 4); - sp3C = &gAudioContext + (temp_hi * 2); - osAiSetNextBuffer(gAudioContext.aiBuffers[temp_hi], gAudioContext.aiBufLengths[temp_hi] * 4); + if (gAudioContext.aiBufLengths[index] != 0) { + // &gAudioContext + index*{2,4} should be saved across here + osAiSetNextBuffer(gAudioContext.aiBuffers[index], gAudioContext.aiBufLengths[index] * 4); } } @@ -125,105 +88,124 @@ AudioTask* func_800E5000(void) { sp5C = gAudioContext.sampleIoReqIdx; for (i = 0; i < gAudioContext.sampleIoReqIdx; i++) { - if (osRecvMesg(&gAudioContext.unk_1ED0, NULL, 0) == 0) { + if (osRecvMesg(&gAudioContext.unk_1ED0, NULL, OS_MESG_NOBLOCK) == 0) { sp5C--; } } if (sp5C != 0) { for (i = 0; i < sp5C; i++) { - osRecvMesg(&gAudioContext.unk_1ED0, NULL, 1); + osRecvMesg(&gAudioContext.unk_1ED0, NULL, OS_MESG_BLOCK); } } sp48 = gAudioContext.unk_1ED0.validCount; - if (gAudioContext.unk_1ED0.validCount != 0) { + if (sp48 != 0) { for (i = 0; i < sp48; i++) { - osRecvMesg(&gAudioContext.unk_1ED0, NULL, 0); + osRecvMesg(&gAudioContext.unk_1ED0, NULL, OS_MESG_NOBLOCK); } } + gAudioContext.sampleIoReqIdx = 0; func_800E11F0(); Audio_ProcessLoads(gAudioContext.resetStatus); func_800E4F58(); - if ((gAudioContext.resetStatus != 0) && (Audio_ResetStep() == 0)) { - if (gAudioContext.resetStatus == 0) { - osSendMesg(gAudioContext.audioResetQueueP, gAudioContext.audioResetPresetIdToLoad, 0); - } - D_801304E4 = NULL; - return NULL; + if (gAudioContext.resetStatus != 0) { + if (Audio_ResetStep() == 0) { + if (gAudioContext.resetStatus == 0) { + osSendMesg(gAudioContext.audioResetQueueP, gAudioContext.audioResetPresetIdToLoad, OS_MESG_NOBLOCK); + } + + sWaitingAudioTask = NULL; + return NULL; + } } if (gAudioContext.resetTimer > 16) { return NULL; - } else if (gAudioContext.resetTimer != 0) { + } + if (gAudioContext.resetTimer != 0) { gAudioContext.resetTimer++; } gAudioContext.currTask = &gAudioContext.rspTask[gAudioContext.rspTaskIdx]; gAudioContext.curAbiCmdBuf = gAudioContext.abiCmdBufs[gAudioContext.rspTaskIdx]; - gAudioContext.aiBufLengths[gAudioContext.curAIBufIdx] = - (s16)((((gAudioContext.audioBufferParameters.samplesPerFrameTarget - sp6C) + 0x80) & 0xFFF0) + 0x10); - if (gAudioContext.aiBufLengths[gAudioContext.curAIBufIdx] < gAudioContext.audioBufferParameters.minAiBufferLength) { - gAudioContext.aiBufLengths[gAudioContext.curAIBufIdx] = gAudioContext.audioBufferParameters.minAiBufferLength; + + index = gAudioContext.curAIBufIdx; + currAiBuffer = gAudioContext.aiBuffers[index]; + + gAudioContext.aiBufLengths[index] = + (s16)((((gAudioContext.audioBufferParameters.samplesPerFrameTarget - samplesRemainingInAi) + + EXTRA_BUFFERED_AI_SAMPLES_TARGET) & + ~0xF) + + SAMPLES_TO_OVERPRODUCE); + if (gAudioContext.aiBufLengths[index] < gAudioContext.audioBufferParameters.minAiBufferLength) { + gAudioContext.aiBufLengths[index] = gAudioContext.audioBufferParameters.minAiBufferLength; } - if (gAudioContext.audioBufferParameters.maxAiBufferLength < gAudioContext.aiBufLengths[gAudioContext.curAIBufIdx]) { - gAudioContext.aiBufLengths[gAudioContext.curAIBufIdx] = gAudioContext.audioBufferParameters.maxAiBufferLength; + if (gAudioContext.aiBufLengths[index] > gAudioContext.audioBufferParameters.maxAiBufferLength) { + gAudioContext.aiBufLengths[index] = gAudioContext.audioBufferParameters.maxAiBufferLength; } + j = 0; if (gAudioContext.resetStatus == 0) { - i = 0; // msg = 0000RREE R = read pos, E = End Pos - while (osRecvMesg(gAudioContext.cmdProcQueueP, &sp4C, 0) != -1) { + while (osRecvMesg(gAudioContext.cmdProcQueueP, (OSMesg*)&sp4C, OS_MESG_NOBLOCK) != -1) { + if (1) {} + if (1) {} + if (1) {} Audio_ProcessCmds(sp4C); - i++; + j++; } - if ((i == 0) && (gAudioContext.cmdQueueFinished)) { + if ((j == 0) && (gAudioContext.cmdQueueFinished)) { Audio_ScheduleProcessCmds(); } } gAudioContext.curAbiCmdBuf = - AudioSynth_Update(gAudioContext.curAbiCmdBuf, &abiCmdCnt, gAudioContext.aiBuffers[gAudioContext.curAIBufIdx], - gAudioContext.aiBufLengths[gAudioContext.curAIBufIdx]); - gAudioContext.audioRandom = osGetCount() * (gAudioContext.audioRandom + gAudioContext.totalTaskCnt); - gAudioContext.audioRandom = gAudioContext.aiBuffers[gAudioContext.curAIBufIdx][gAudioContext.totalTaskCnt & 0xFF] + - gAudioContext.audioRandom; - ; - gWaveSamples[8] = (s16*)((((u8*)func_800E4FE0)) + (gAudioContext.audioRandom & 0xFFF0)); + AudioSynth_Update(gAudioContext.curAbiCmdBuf, &abiCmdCnt, currAiBuffer, gAudioContext.aiBufLengths[index]); + gAudioContext.audioRandom = (gAudioContext.audioRandom + gAudioContext.totalTaskCnt) * osGetCount(); + gAudioContext.audioRandom = + gAudioContext.aiBuffers[index][gAudioContext.totalTaskCnt & 0xFF] + gAudioContext.audioRandom; + gWaveSamples[8] = (s16*)(((u8*)func_800E4FE0) + (gAudioContext.audioRandom & 0xFFF0)); + + index = gAudioContext.rspTaskIdx; gAudioContext.currTask->taskQueue = NULL; gAudioContext.currTask->unk_44 = NULL; - temp_v1_10 = &gAudioContext.currTask->task.t; - temp_v1_10->type = 2U; - temp_v1_10->flags = 0U; - temp_v1_10->ucode_boot = D_801120C0; - temp_v1_10->ucode_boot_size = 0x1000; - temp_v1_10->ucode_data_size = (((s32)(D_80155F50 - D_80155C70) >> 3) * 8) - 1; - temp_v1_10->ucode = D_801120C0; - temp_v1_10->ucode_data = D_80155C70; - temp_v1_10->ucode_size = 0x1000; - temp_v1_10->dram_stack = NULL; - temp_v1_10->dram_stack_size = 0; - temp_v1_10->output_buff = NULL; - temp_v1_10->output_buff_size = NULL; - temp_v1_10->data_ptr = gAudioContext.abiCmdBufs[gAudioContext.rspTaskIdx]; - temp_v1_10->yield_data_ptr = NULL; - temp_v1_10->yield_data_size = 0; - temp_v1_10->data_size = abiCmdCnt * sizeof(ACmd); - if (D_801304E0 < abiCmdCnt) { - D_801304E0 = abiCmdCnt; + task = &gAudioContext.currTask->task.t; + task->type = M_AUDTASK; + task->flags = 0; + task->ucode_boot = D_801120C0; + task->ucode_boot_size = 0x1000; + task->ucode_data_size = ((rspAspMainDataEnd - rspAspMainDataStart) * sizeof(u64)) - 1; + task->ucode = D_801120C0; + task->ucode_data = rspAspMainDataStart; + task->ucode_size = 0x1000; + task->dram_stack = NULL; + task->dram_stack_size = 0; + task->output_buff = NULL; + task->output_buff_size = NULL; + task->data_ptr = (u64*)gAudioContext.abiCmdBufs[index]; + task->data_size = abiCmdCnt * sizeof(Acmd); + task->yield_data_ptr = NULL; + task->yield_data_size = 0; + + if (sMaxAbiCmdCnt < abiCmdCnt) { + sMaxAbiCmdCnt = abiCmdCnt; } if (gAudioContext.audioBufferParameters.presetUnk4 == 1) { return gAudioContext.currTask; + } else { + sWaitingAudioTask = gAudioContext.currTask; + return NULL; } - D_801304E4 = gAudioContext.currTask; - return NULL; } #else +s32 sMaxAbiCmdCnt = 0x80; +AudioTask* sWaitingAudioTask = NULL; #pragma GLOBAL_ASM("asm/non_matchings/code/code_800E4FE0/func_800E5000.s") #endif @@ -271,7 +253,7 @@ void func_800E5584(AudioCmd* cmd) { if (cmd->asUInt == 1) { for (i = 0; i < gAudioContext.maxSimultaneousNotes; i++) { Note* note = &gAudioContext.notes[i]; - NoteSubEu* subEu = ¬e->noteSubEu.bitField0; + NoteSubEu* subEu = ¬e->noteSubEu; if (subEu->bitField0.s.enabled && note->playbackState.unk_04 == 0) { if (note->playbackState.parentLayer->seqChannel->muteBehavior & 8) { subEu->bitField0.s.finished = 1; @@ -310,7 +292,7 @@ void func_800E5584(AudioCmd* cmd) { gAudioContext.audioResetPresetIdToLoad = cmd->asUInt; return; case 0xFB: - D_801755D0 = cmd->asUInt; + D_801755D0 = (void (*)(void))cmd->asUInt; return; case 0xE0: case 0xE1: @@ -503,7 +485,7 @@ void Audio_ProcessCmds(u32 msg) { u32 func_800E5E20(u32* arg0) { u32 sp1C; - if (osRecvMesg(&gAudioContext.unk_1E20, &sp1C, 0) == -1) { + if (osRecvMesg(&gAudioContext.unk_1E20, (OSMesg*)&sp1C, OS_MESG_NOBLOCK) == -1) { *arg0 = 0; return 0; } @@ -524,7 +506,7 @@ s32 func_800E5EDC(void) { s32 pad; s32 sp18; - if (osRecvMesg(gAudioContext.audioResetQueueP, &sp18, OS_MESG_NOBLOCK) == -1) { + if (osRecvMesg(gAudioContext.audioResetQueueP, (OSMesg*)&sp18, OS_MESG_NOBLOCK) == -1) { return 0; } else if (gAudioContext.audioResetPresetIdToLoad != sp18) { return -1; @@ -536,7 +518,7 @@ s32 func_800E5EDC(void) { void func_800E5F34(void) { // macro? // clang-format off - s32 chk = -1; s32 sp28; do { } while (osRecvMesg(gAudioContext.audioResetQueueP, &sp28, 0) != chk); + s32 chk = -1; s32 sp28; do {} while (osRecvMesg(gAudioContext.audioResetQueueP, (OSMesg*)&sp28, OS_MESG_NOBLOCK) != chk); // clang-format on } @@ -745,47 +727,45 @@ void Audio_WaitForAudioTask(void) { osRecvMesg(gAudioContext.taskStartQueueP, NULL, OS_MESG_BLOCK); } -#ifdef NON_MATCHING s32 func_800E6590(s32 arg0, s32 arg1, s32 arg2) { - u32 temp_v1_2; - AudioBankSound* temp_a0; - Note* temp_a0_2; - SequenceChannelLayer* temp_v0; - SequenceChannel* temp_v1; SequencePlayer* seqPlayer; + SequenceChannelLayer* layer; + Note* note; + AudioBankSound* sound; + s32 loopEnd; + s32 samplePos; seqPlayer = &gAudioContext.seqPlayers[arg0]; if (seqPlayer->enabled && seqPlayer->channels[arg1]->enabled) { - temp_v0 = seqPlayer->channels[arg1]->layers[arg2]; - if (temp_v0 == NULL) { + layer = seqPlayer->channels[arg1]->layers[arg2]; + if (layer == NULL) { return 0; } - if (temp_v0->enabled) { - temp_a0_2 = temp_v0->note; - if (temp_a0_2 == NULL) { + if (layer->enabled) { + if (layer->note == NULL) { return 0; } - if (!temp_v0->bit3) { + if (!layer->bit3) { return 0; } - if (temp_v0 == temp_a0_2->playbackState.parentLayer) { - temp_a0 = temp_a0_2->noteSubEu.sound.audioBankSound; - if (temp_a0 == 0) { + note = layer->note; + if (layer == note->playbackState.parentLayer) { + sound = note->noteSubEu.sound.audioBankSound; + if (sound == NULL) { return 0; } - return temp_a0->sample->loop->end - temp_a0_2->synthesisState.samplePosInt; + loopEnd = sound->sample->loop->end; + samplePos = note->synthesisState.samplePosInt; + return loopEnd - samplePos; } return 0; } } return 0; } -#else -#pragma GLOBAL_ASM("asm/non_matchings/code/code_800E4FE0/func_800E6590.s") -#endif s32 func_800E6680(void) { return func_800E66C0(0); diff --git a/src/code/code_800EC960.c b/src/code/code_800EC960.c index c52c87e7de..79fb1479b0 100644 --- a/src/code/code_800EC960.c +++ b/src/code/code_800EC960.c @@ -18,6 +18,12 @@ typedef struct { s32 unk_0C; } unk_s2; +typedef struct { + u16 unk_00; + u16 unk_02; + u8 unk_04[100]; +} D_801306DC_s; // size = 0x68 + void Audio_SetVolScale(u8, u8, u8, u8); void func_800F5550(u16); void func_800F5E18(u8 arg0, u16 arg1, u8 arg2, s8 arg3, s8 arg4); @@ -26,80 +32,146 @@ void func_800F56A8(void); void func_800F6FB4(u8); s32 func_800F491C(u8 arg0); +extern f32 D_8012F6B4[]; // from audio_synthesis + // stick float vals -extern f32 D_8012F6B4[]; -extern u32 sOcarinaAllowedBtnMask; // 80130EFC -extern s32 sOcarinaABtnMap; // 80130F00 -extern s32 sOcarinaCUPBtnMap; // 80130F04 -extern s32 sOcarinaCDownBtnMap; // 80130F08 -extern u8 D_80131F64; -extern u8 D_80133408; -extern s8 D_8013060C; +extern u32 D_801305B0; +extern u32 D_801305B4; +extern s8 D_801305B8; +extern s8 D_801305BC; +extern s8 D_801305C0; +extern f32 D_801305C4[2]; +extern u8 D_801305CC; +extern u8 sPrevChargeLevel; // 801305E0 +extern f32 D_801305E4[4]; +extern f32 D_801305F4; +extern u8 D_801305F8[8]; +extern u8 D_80130600; extern s8 D_80130604; +extern s8 D_80130608; +extern s8 D_8013060C; +extern s8 D_80130610; extern s8 D_80130614; -extern f32 D_80130620; -extern s8 D_80130624; -extern u8 D_80131878; -extern u32 D_80130F3C; -extern u8 sCurOcarinaBtnVal; // 80130F14 -extern u8 sPrevOcarinaNoteVal; // 80130F18 -extern u8 sCurOcarinaBtnIdx; // note index? -extern u8 sLearnSongLastBtn; -extern u8 sOcarinaInpEnabled; // D_80130F0C -extern u8 sPlaybackState; -extern u16 sStaffPlaybackPos; -extern s8 D_80130F10; // ocarina active? -extern u8 sNoteValueIndexMap[]; extern s8 D_80130618; extern u8 D_8013061C; +extern f32 D_80130620; +extern s8 D_80130624; +extern u16 D_80130628; +extern u8 D_8013062C; +extern u8 D_80130630; +extern u32 D_80130634; +extern u32 D_80130638; extern u8 D_8013063C; extern u8 D_80130640; extern u8 D_80130644; extern u8 D_80130648; -extern s8 D_801306C8[]; -extern u8 D_80133414; -extern s8 D_80130610; -extern u8 D_80130600; -extern u8 D_801305F8[]; -extern s8 D_80130608; -extern u8 D_801305FF; extern Vec3f* D_8013064C; -extern u8 D_801305CC; -extern u8 D_8013062C; -extern u8 D_80130630; -extern u8 D_80130658[]; -extern u8 D_80133418; -extern u16 D_80130628; -extern s8 D_80130F2C; // pitch? extern f32 D_80130650; -extern s8 D_801305B8; -extern s8 D_801305BC; -extern s8 D_801305C0; -extern f32 D_801305E4[]; -extern u8 sPrevChargeLevel; -extern f32 D_801305F4; -extern u32 D_801305B0; -extern u32 D_801305B4; +extern u8 D_80130654; +extern u8 D_80130658[]; // <= 112 +extern s8 D_801306C8[20]; +extern D_801306DC_s D_801306DC[20]; +extern u32 sOcarinaAllowedBtnMask; // 80130EFC +extern s32 sOcarinaABtnMap; // 80130F00 +extern s32 sOcarinaCUPBtnMap; // 80130F04 +extern s32 sOcarinaCDownBtnMap; // 80130F08 +extern u8 sOcarinaInpEnabled; // 80130F0C +extern s8 D_80130F10; // ocarina active? +extern u8 sCurOcarinaBtnVal; // 80130F14 +extern u8 sPrevOcarinaNoteVal; // 80130F18 +extern u8 sCurOcarinaBtnIdx; // 80130F1C, note index? +extern u8 sLearnSongLastBtn; // 80130F20 +extern f32 D_80130F24; +extern u32 D_80130F28; +extern s8 D_80130F2C; // pitch? +extern s8 D_80130F30; +extern s8 D_80130F34; +extern u8 sPlaybackState; // 80130F38 +extern u32 D_80130F3C; +extern u32 sNotePlaybackTimer; +extern u16 sPlaybackNotePos; +extern u16 sStaffPlaybackPos; +extern u16 D_80130F4C; +extern u8 sDisplayedNoteValue; // Note to display on screen? +extern u8 sNotePlaybackVolume; +extern u8 sNotePlaybackVibrato; +extern s8 sNotePlaybackTone; +extern f32 sNormalizedNotePlaybackTone; +extern f32 sNormalizedNotePlaybackVolume; +extern s32 D_80130F68; +extern u8 sOcarinaNoteValues[5]; +extern u8 sOcaMinigameApndPos; +extern u8 sOcaMinigameEndPos; +extern u8 sOcaMinigameNoteCnts[]; // <= 4 +extern OcarinaSong sOcarinaSongs[14]; // 80130F80 +extern OcarinaSong* sPlaybackSong; +// extern u8 D_80131844[]; +// extern u8* gFrogsSongPtr = D_80131844; +extern u8 D_80131858; +extern u8 sRecordSongPos; +extern u32 D_80131860; +extern u8 D_80131864; +extern u8 D_80131868; +extern u8 D_8013186C; +extern s8 D_80131870; +extern u8 D_80131874; +extern u8 D_80131878; +extern u8 D_8013187C; +extern u8 D_80131880; +extern OcarinaSong sPierresSong; +// extern OcarinaNote* gScarecrowCustomSongPtr = &sPierresSong; +// extern OcarinaNote* gScarecrowSpawnSongPtr = &sOcarinaSongs[12]; +extern OcarinaSong* D_80131BEC; // = &sOcarinaSongs[13]; +extern u8 sNoteValueIndexMap[16]; // = {0, 0, 0, 0, 1, 1, 1, 2, 2, 2, 5, 3, 3, 4, 4, 4} +// extern OcarinaSongInfo gOcarinaSongNotes[14]; +// D_80131C80 .. 88 are in-function static in func_800EE824 extern f32 D_80131C8C; + +extern u8 D_801333F0; + +// Debug variables (separate file?): +extern f32 D_80131C90; // func_800EEA50, in-function static? +extern f32 D_80131C94; // func_800EEA50, in-function static? extern u8 D_80131C98; extern u8 D_80131C9C; extern u8 D_80131CA0; +extern u8 D_80131CA4; extern u8 D_80131CA8; -extern u16 D_80131E08[]; -extern u8 D_8013340C; -extern u8 D_80131EEE; +extern char D_80131CAC[][23]; +extern u16 D_80131E08[11]; +extern u16 D_80131E20[11]; +extern char D_80131E38[7][11]; // func_800EEA50 +extern char D_80131E88[][10]; // func_800EEA50 extern s8 D_80131EBC; extern s8 D_80131EC0; extern s8 D_80131EC4; extern s8 D_80131EC8[]; -extern u16 D_80131E20[]; -extern u8 D_80131CA4; -extern u8 sOcaMinigameEndPos; -extern u8 sOcaMinigameApndPos; -extern u8 sOcaMinigameNoteCnts[]; -extern u16 D_80130F3E; -extern u8 sOcarinaNoteValues[5]; -extern u8 D_8013170C; +extern u8 D_80131ED0; +extern u8 sAudioScreenPrintInd; +extern u8 sAudioScreenPrintOverflow; +extern s8 D_80131EDC; +extern s8 D_80131EE0; +extern u8 D_80131EE4[11]; +extern u8 D_80131EF0[11]; +extern u8 D_80131EFC; +extern u8 D_80131F00; +extern u8 D_80131F04; +extern u8 D_80131F08; +extern char D_80131F0C[][5]; // func_800EEA50 +extern u8 D_80131F18; +extern u8 D_80131F1C; +extern u16 D_80131F20[]; +extern u8 D_80131F28; +extern u8 D_80131F2C; +extern u8 D_80131F30[]; +extern char D_80131F44[][2]; // func_800EEA50 +extern u8 D_80131F4C[]; +extern u8 D_80131F50; +extern char D_80131F54[][5]; // func_800EEA50 +extern u8 D_80131F64; +extern u8 D_80131F68; +// D_80131F6C is in-function static in func_800F510C + /** bit field of songs that can be played * 0x0800 storms * 0x0400 song of time @@ -114,44 +186,18 @@ extern u8 D_8013170C; * 0x0002 bolero * 0x0001 minuet */ -extern u8 D_8013187C; -extern u16 D_80130F4C; -extern u8 D_80131880; -extern u8 D_80131858; -extern f32 D_80130F24; -extern s8 D_80130F34; -extern u32 D_80130F28; -extern u8 D_80131F4C[]; -extern u8 D_80131F50; -extern OcarinaSong sOcarinaSongs[]; // 80130F80 -extern u32 sNotePlaybackTimer; -extern u16 sPlaybackNotePos; -extern u32 D_80131860; -extern u8 D_8013186; -extern u8 D_80131868; -extern u8 D_8013186C; -extern s8 D_80131870; -extern u8 D_80131874; -extern u8 sRecordSongPos; -extern u8 D_80131864; -extern s8 D_80130F30; -extern OcarinaSong* sPlaybackSong; -extern OcarinaSong sPierresSong; -extern u8 sDisplayedNoteValue; // Note to display on screen? -extern s32 D_80130F68; -extern OcarinaNote* D_80131BE4; -extern OcarinaSong* D_80131BEC; typedef struct { u8 unk_00; char unk_01[0x37]; } unk_dstruct; -extern u8 D_80131EFC; +extern u8 D_801333F4; +extern u8 D_80133408; +extern u8 D_8013340C; +extern u8 D_80133414; +extern u8 D_80133418; extern unk_dstruct D_801337CE[]; -extern u8 D_80131F28; -extern u8 D_80131F2C; -extern u8 D_80131F30[]; /** * BSS @@ -169,10 +215,10 @@ s8 D_8016B7DC; f32 D_8016B7E0; u16 D_8016B7E4; typedef struct { - char unk_00[6]; - s16 unk_06; -} unk_D_8016B7E8; -unk_D_8016B7E8 D_8016B7E8[0x19]; + s8 str[5]; + u16 num; +} unk_sAudioScreenPrintBuf; +unk_sAudioScreenPrintBuf sAudioScreenPrintBuf[25]; u8 D_8016B8B0; u8 D_8016B8B1; u8 D_8016B8B2; @@ -223,6 +269,10 @@ u32 sDebugPadPress; s32 sAudioUpdateTaskStart; s32 sAudioUpdateTaskEnd; +extern u16 D_8016E2E0[]; +extern u16 D_8016E2F8[]; +extern u8 D_8016E310[]; + void func_800EC960(u8 custom) { if (!custom) { osSyncPrintf("AUDIO : Ocarina Control Assign Normal\n"); @@ -377,7 +427,6 @@ void func_800ECDBC(void) { } } -#ifdef NON_MATCHING void func_800ECDF8(void) { u16 sh; u16 pad; @@ -396,16 +445,17 @@ void func_800ECDF8(void) { return; } - if (sPrevOcarinaNoteVal == sCurOcarinaBtnVal || sCurOcarinaBtnVal == 0xFF) { - inputChanged = 1; - } + // clang-format off + if (sPrevOcarinaNoteVal == sCurOcarinaBtnVal || sCurOcarinaBtnVal == 0xFF) { inputChanged = 1; } + // clang-format on for (i = gOcarinaSongNotestartIdx; i < sOcarinaSongCnt; i++) { sh = 1 << i; if (sOcarinaAvailSongs & sh) { D_8016BA50[i] = D_8016BA70[i] + 0x12; if (inputChanged) { - if ((D_8016BA50[i] >= D_8016BA70[i] - 0x12) && (D_8016BA50[i] >= D_8016BA70[i]) && + // (pointless if check, this is always true) + if ((D_8016BA50[i] >= D_8016BA70[i] - 0x12) && (D_8016BA50[i] >= D_8016BA70[i] + 0x12) && (sOcarinaSongs[i].notes[sLearnSongPos[i]].unk_02 == 0) && (sLearnSongLastBtn == sLearnSongExpectedNote[i])) { D_80131878 = i + 1; @@ -431,11 +481,11 @@ void func_800ECDF8(void) { if (sCurOcarinaBtnVal != sLearnSongExpectedNote[i]) { sOcarinaAvailSongs ^= sh; } - for (; prevNote->noteIdx == note->noteIdx || (note->noteIdx == 0xFF && note->unk_02 != 0); - sLearnSongPos[i]++) { + while (prevNote->noteIdx == note->noteIdx || (note->noteIdx == 0xFF && note->unk_02 != 0)) { D_8016BA70[i] += note->unk_02; - note = &sOcarinaSongs[i].notes[sLearnSongPos[i] + 1]; prevNote = &sOcarinaSongs[i].notes[sLearnSongPos[i]]; + note = &sOcarinaSongs[i].notes[sLearnSongPos[i] + 1]; + sLearnSongPos[i]++; } } else if (D_8016BA50[i] < 0xA) { sp57 = -1; @@ -462,10 +512,6 @@ void func_800ECDF8(void) { } } } -#else -void func_800ECDF8(void); -#pragma GLOBAL_ASM("asm/non_matchings/code/code_800EC960/func_800ECDF8.s") -#endif void func_800ED200(void) { u32 temp_v0; @@ -474,7 +520,7 @@ void func_800ED200(void) { u8 k; if (CHECK_BTN_ANY(sCurOcarinaBtnPress, BTN_L) && CHECK_BTN_ANY(sCurOcarinaBtnPress, sOcarinaAllowedBtnMask)) { - func_800ECC04(D_80130F3E); + func_800ECC04((u16)D_80130F3C); return; } @@ -533,7 +579,7 @@ void func_800ED458(s32 arg0) { } if ((D_8016BA10 == 0) || - ((sCurOcarinaBtnPress & sOcarinaAllowedBtnMask) != (0, D_8016BA10 & sOcarinaAllowedBtnMask))) { + ((D_8016BA10 & sOcarinaAllowedBtnMask) != (sCurOcarinaBtnPress & sOcarinaAllowedBtnMask))) { D_8016BA10 = 0; if (1) {} sCurOcarinaBtnVal = 0xFF; @@ -660,12 +706,6 @@ void func_800ED93C(s8 songIdx, s8 arg1) { } } -extern u8 sNotePlaybackVolume; -extern f32 sNormalizedNotePlaybackVolume; -extern u8 sNotePlaybackVibrato; -extern s8 sNotePlaybackTone; -extern f32 sNormalizedNotePlaybackTone; - void Audio_OcaPlayback(void) { s32 noteTimerStep; s32 nextNoteTimerStep; @@ -1145,16 +1185,664 @@ u8* func_800EE9D0(s32 arg0, u8 arg1) { return D_8016B9B8; } -extern char D_80131CAC[][0x17]; -extern char D_80131F54[][5]; -extern char D_80131E38[7][0xB]; -extern char D_80131E88[][0xA]; -extern u8 D_80131F68; - // Debug Print -#pragma GLOBAL_ASM("asm/non_matchings/code/code_800EC960/func_800EEA50.s") +#ifdef NON_EQUIVALENT +void func_800EEA50(GfxPrint* printer) { + char digitStr[2] = "1"; // sp98 + s32 s0; + s32 k; + u8 i; + u8 j; + u8 songInd; + u8 totalNumLayers; + u8 instOrWave; + u8 inst; + u8 phi_s2_3; + s8 ind; + u8 idx; // v1 + u8 bank; // fp + u8 numEnabledNotes; // sp78 + +#define SETCOL_COMMON(v, r, g, b) \ + GfxPrint_SetColor(printer, ((v & 4) >> 2) * (r), ((v & 2) >> 1) * (g), (v & 1) * (b), 255) +#define SETCOL(r, g, b) SETCOL_COMMON(D_80131CA8, r, g, b) +#define SETCOL2(r, g, b) SETCOL_COMMON(D_80131EE4[2], r, g, b) + + D_80131C98 = 1; + GfxPrint_SetPos(printer, 3, 2); + SETCOL(255, 255, 255); + GfxPrint_Printf(printer, "Audio Debug Mode"); + + GfxPrint_SetPos(printer, 3, 3); + GfxPrint_Printf(printer, "- %s -", D_80131CAC[D_80131CA0]); + + numEnabledNotes = 0; // s0 + for (i = 0; i < gAudioSessionPresets[D_80133414].maxSimultaneousNotes; i++) { // s6 + if (gAudioContext.notes[i].noteSubEu.bitField0.s.enabled == 1) { + numEnabledNotes++; + } + } + + if (D_80131F68 < numEnabledNotes) { + D_80131F68 = numEnabledNotes; + } + if (D_8016B9E0[D_80133414] < numEnabledNotes) { + D_8016B9E0[D_80133414] = numEnabledNotes; + } + + if (D_80131EE4[0] != 0) { + GfxPrint_SetPos(printer, D_80131EDC, D_80131EE0); + SETCOL2(0xC8, 0xC8, 0xC8); + GfxPrint_Printf(printer, "Audio ScrPrt"); + + ind = sAudioScreenPrintInd; // fp + for (k = 0; k < D_80131EE4[1] + 1; k++) { // s2 (s32 loop) + if (ind == 0) { + if (sAudioScreenPrintOverflow == 1) { + ind = 25 - 1; + } else { + k = D_80131EE4[1] + 1; // "break;" + } + } else { + ind--; + } + if (k != D_80131EE4[1] + 1) { + if ((ind % 5) != 0) { + SETCOL2(0xB4, 0xB4, 0xB4); + } else { + SETCOL2(0x78, 0x78, 0x78); + } + GfxPrint_SetPos(printer, D_80131EDC + 2, D_80131EE0 + D_80131EE4[1] + 1 - k); + GfxPrint_Printf(printer, "%s", sAudioScreenPrintBuf[ind].str); + + GfxPrint_SetPos(printer, D_80131EDC + 7, D_80131EE0 + D_80131EE4[1] + 1 - k); + GfxPrint_Printf(printer, "%04X", sAudioScreenPrintBuf[ind].num); + } + } + } + + switch (D_80131CA0) { + case 0: + GfxPrint_SetPos(printer, 3, 4); + SETCOL(255, 6, 6); + GfxPrint_Printf(printer, "BGM CANCEL:%s", D_80131F54[D_80131E08[5]]); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "SE MUTE:%s", D_80131F54[D_80131C9C]); + + GfxPrint_SetPos(printer, 18, 4); + SETCOL(255, 255, 255); + GfxPrint_Printf(printer, "PUSH CONT-4 A-BTN"); + + bank = (u8)D_80131E08[2]; + i = gSoundBanks[bank][0].next; // s6, s3 + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf(printer, "SE HANDLE:%s", D_80131E38[bank]); + + while (i != 0xFF) { + GfxPrint_SetPos(printer, 3, j + 7); + GfxPrint_Printf(printer, "%02x %04x %02x %08x", i, gSoundBanks[bank][i].unk_28, + gSoundBanks[bank][i].unk_2A, gSoundBanks[bank][i].unk_20); + i = gSoundBanks[bank][i].next; + j++; + } + break; + + case 1: + GfxPrint_SetPos(printer, 2, D_80131CA4 + 4); + SETCOL(127, 255, 127); + GfxPrint_Printf(printer, "*"); + + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 4); + GfxPrint_Printf(printer, "Seq 0 : %2x", D_80131E08[0]); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "Seq 1 : %2x", D_80131E08[1]); + + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf(printer, "SE HD : %2x %s", D_80131E08[2], D_80131E38[D_80131E08[2]]); + + GfxPrint_SetPos(printer, 3, 7); + GfxPrint_Printf(printer, "SE No. :%3x", D_80131E08[3]); + + GfxPrint_SetPos(printer, 3, 8); + GfxPrint_Printf(printer, "S-Out : %2x %s", D_80131E08[4], D_80131E88[D_80131E08[4]]); + + GfxPrint_SetPos(printer, 3, 9); + GfxPrint_Printf(printer, "BGM Ent: %2x", D_80131E08[5]); + + GfxPrint_SetPos(printer, 3, 10); + GfxPrint_Printf(printer, "Spec : %2x", D_80131E08[6]); + + GfxPrint_SetPos(printer, 3, 11); + GfxPrint_Printf(printer, "Na Snd : %2x", D_80131E08[7]); + + GfxPrint_SetPos(printer, 3, 12); + GfxPrint_Printf(printer, "Cam Wt : %s", D_80131F54[D_80131E08[8]]); + + GfxPrint_SetPos(printer, 3, 13); + GfxPrint_Printf(printer, "Lnk Wt : %s", D_80131F54[D_80131E08[9]]); + + GfxPrint_SetPos(printer, 3, 14); + GfxPrint_Printf(printer, "SE Ent : %2x", D_80131E08[10]); + break; + + case 7: + ind = 0; // fp + for (k = 0; k < 7; k++) { // s2 (s32 loop) + if (k == D_80131EC4) { + SETCOL(255, 127, 127); + } else { + SETCOL(255, 255, 255); + } + GfxPrint_SetPos(printer, D_80131EBC + 2, D_80131EC0 + ind + 4); + GfxPrint_Printf(printer, "%s <%d>", D_80131E38[k], D_80131EC8[k]); + + for (s0 = 0; s0 < D_80130578[D_801333CC][k]; s0++) { + GfxPrint_SetPos(printer, D_80131EBC + 2, D_80131EC0 + ind + 5); + if (D_80131EC8[k] == 1) { + idx = D_8016E1B8[k][s0].unk_4; + if ((idx != 0xFF) && ((gSoundBanks[k][idx].unk_2A == 4) || (gSoundBanks[k][idx].unk_2A == 5))) { + SoundBankEntry* entry = &gSoundBanks[k][idx]; + GfxPrint_Printf(printer, "%2X %5d %5d %5d %02X %04X %04X", idx, (s32)*entry->posX, + (s32)*entry->posY, (s32)*entry->posZ, entry->unk_24, entry->unk_26, + entry->unk_28); + } else { + GfxPrint_Printf(printer, "FF ----- ----- ----- -- ---- ----", idx); + } + } else if (D_80131EC8[k] == 2) { + idx = D_8016E1B8[k][s0].unk_4; + if ((idx != 0xFF) && ((gSoundBanks[k][idx].unk_2A == 4) || (gSoundBanks[k][idx].unk_2A == 5))) { + SoundBankEntry* entry = &gSoundBanks[k][idx]; + SequenceChannel* chan = gAudioContext.seqPlayers[2].channels[entry->unk_2E]; + GfxPrint_Printf(printer, "%2X %5d %5d %5d %3d %3d %04X", idx, (s32)*entry->posX, + (s32)*entry->posY, (s32)*entry->posZ, (s32)(chan->volume * 127.1f), + chan->newPan, entry->unk_28); + } else { + GfxPrint_Printf(printer, "FF ----- ----- ----- --- --- ----", idx); + } + } else if (D_80131EC8[k] == 3) { + idx = D_8016E1B8[k][s0].unk_4; + if ((idx != 0xFF) && ((gSoundBanks[k][idx].unk_2A == 4) || (gSoundBanks[k][idx].unk_2A == 5))) { + SoundBankEntry* entry = &gSoundBanks[k][idx]; + SequenceChannel* chan = gAudioContext.seqPlayers[2].channels[entry->unk_2E]; + GfxPrint_Printf(printer, "%2X %5d %5d %5d %3d %3d %04X", idx, (s32)*entry->posX, + (s32)*entry->posY, (s32)*entry->posZ, (s32)(chan->freqScale * 100.0f), + chan->reverb, entry->unk_28); + } else { + GfxPrint_Printf(printer, "FF ----- ----- ----- --- --- ----", idx); + } + } else if (D_80131EC8[k] == 4) { + idx = D_8016E1B8[k][s0].unk_4; + if ((idx != 0xFF) && ((gSoundBanks[k][idx].unk_2A == 4) || (gSoundBanks[k][idx].unk_2A == 5))) { + SoundBankEntry* entry = &gSoundBanks[k][idx]; + GfxPrint_Printf(printer, "%2X %04X", idx, entry->unk_28); + } else { + GfxPrint_Printf(printer, "FF ----", idx); + } + } + + if (D_80131EC8[k] != 0) { + ind++; + } + } + ind++; + } + break; + + case 13: + GfxPrint_SetPos(printer, 2, D_80131ED0 + 4); + SETCOL(255, 255, 255); + GfxPrint_Printf(printer, "*"); + + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 4); + GfxPrint_Printf(printer, "Swicth : %d", D_80131EE4[0]); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "Lines : %d", D_80131EE4[1] + 1); + + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf(printer, "Color : %d", D_80131EE4[2]); + + GfxPrint_SetPos(printer, 3, 7); + GfxPrint_Printf(printer, "%s : %d", D_80131E38[0], D_80131EE4[3]); + + GfxPrint_SetPos(printer, 3, 8); + GfxPrint_Printf(printer, "%s : %d", D_80131E38[1], D_80131EE4[4]); + + GfxPrint_SetPos(printer, 3, 9); + GfxPrint_Printf(printer, "ENVRONM : %d", D_80131EE4[5]); + + GfxPrint_SetPos(printer, 3, 10); + GfxPrint_Printf(printer, "%s : %d", D_80131E38[3], D_80131EE4[6]); + + GfxPrint_SetPos(printer, 3, 11); + GfxPrint_Printf(printer, "%s : %d", D_80131E38[4], D_80131EE4[7]); + + GfxPrint_SetPos(printer, 3, 12); + GfxPrint_Printf(printer, "%s : %d", D_80131E38[5], D_80131EE4[8]); + + GfxPrint_SetPos(printer, 3, 13); + GfxPrint_Printf(printer, "%s : %d", D_80131E38[6], D_80131EE4[9]); + + GfxPrint_SetPos(printer, 3, 14); + GfxPrint_Printf(printer, "SEQ ENT : %d", D_80131EE4[10]); + break; + + case 8: + GfxPrint_SetPos(printer, 3, 4); + SETCOL(255, 255, 255); + if (D_801333F4 != 0) { + GfxPrint_Printf(printer, "SWAP OFF"); + } + + if (D_80131F00 == 0) { + SETCOL(255, 255, 255); + } else { + SETCOL(127, 127, 127); + } + GfxPrint_SetPos(printer, 2, D_80131F04 + 6); + GfxPrint_Printf(printer, "*"); + + phi_s2_3 = D_80131F08; + if (D_80131F08 >= 4) { + phi_s2_3++; + } + if (D_80131F00 == 1) { + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, phi_s2_3 + 3, 5); + GfxPrint_Printf(printer, "V"); + } + + for (i = 0; i < 10; i++) { // s3, s6 + if (i == D_80131F04) { + if (D_80131F00 == 0) { + SETCOL(192, 192, 192); + } else { + SETCOL(255, 255, 255); + } + } else if (D_80131F00 == 0) { + SETCOL(144, 144, 144); + } else { + SETCOL(96, 96, 96); + } + GfxPrint_SetPos(printer, 3, i + 6); + GfxPrint_Printf(printer, "%04x %04x %s", D_8016E2E0[i], D_8016E2F8[i], D_80131F0C[D_8016E310[i]]); + } + break; + + case 5: + GfxPrint_SetPos(printer, 3, 4); + SETCOL(255, 255, 255); + GfxPrint_Printf(printer, "Group Track:%d", D_80131F28); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "Sub Track :%d", D_80131F2C); + + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf(printer, "TRK NO. "); + + GfxPrint_SetPos(printer, 3, 7); + GfxPrint_Printf(printer, "ENTRY "); + + GfxPrint_SetPos(printer, 3, 8); + GfxPrint_Printf(printer, "MUTE "); + + GfxPrint_SetPos(printer, 3, 9); + GfxPrint_Printf(printer, "OPENNOTE"); + + totalNumLayers = 0; // s4 + for (i = 0; i < 16; i++) { // s3, s6 + u8 numLayers = 0; // s2 + if (i == D_80131F2C) { + SETCOL(255, 255, 255); + } else { + SETCOL(200, 200, 200); + } + GfxPrint_SetPos(printer, i + 15, 6); + GfxPrint_Printf(printer, "%1X", i); + + GfxPrint_SetPos(printer, i + 15, 7); + if (gAudioContext.seqPlayers[D_80131F28].channels[i]->enabled) { + GfxPrint_Printf(printer, "O"); + } else { + GfxPrint_Printf(printer, "X"); + } + + GfxPrint_SetPos(printer, i + 15, 8); + if (gAudioContext.seqPlayers[D_80131F28].channels[i]->stopSomething2) { + GfxPrint_Printf(printer, "O"); + } else { + GfxPrint_Printf(printer, "X"); + } + + GfxPrint_SetPos(printer, i + 15, 9); + for (j = 0; j < 4; j++) { // s0 + if (gAudioContext.seqPlayers[D_80131F28].channels[i]->layers[j] != NULL) { + numLayers++; + } + } + + GfxPrint_Printf(printer, "%1X", numLayers); + totalNumLayers += numLayers; + } + + SETCOL(255, 255, 255); + if (D_80131F30[D_80131F28] < totalNumLayers) { + D_80131F30[D_80131F28] = totalNumLayers; + } + GfxPrint_SetPos(printer, i + 16, 9); + GfxPrint_Printf(printer, "%2d,%2d", totalNumLayers, D_80131F30[D_80131F28]); + + GfxPrint_SetPos(printer, 3, 11); + GfxPrint_Printf(printer, "VOL "); + + GfxPrint_SetPos(printer, 3, 12); + GfxPrint_Printf(printer, "E VOL "); + + GfxPrint_SetPos(printer, 3, 13); + GfxPrint_Printf(printer, "BANK ID "); + + GfxPrint_SetPos(printer, 3, 14); + GfxPrint_Printf(printer, "PROG "); + + GfxPrint_SetPos(printer, 3, 15); + GfxPrint_Printf(printer, "PAN "); + + GfxPrint_SetPos(printer, 3, 16); + GfxPrint_Printf(printer, "PANPOW "); + + GfxPrint_SetPos(printer, 3, 17); + GfxPrint_Printf(printer, "FXMIX "); + + GfxPrint_SetPos(printer, 3, 18); + GfxPrint_Printf(printer, "PRIO "); + + GfxPrint_SetPos(printer, 3, 19); + GfxPrint_Printf(printer, "VIB PIT "); + + GfxPrint_SetPos(printer, 3, 20); + GfxPrint_Printf(printer, "VIB DEP "); + + GfxPrint_SetPos(printer, 3, 21); + GfxPrint_Printf(printer, "TUNE "); + + GfxPrint_SetPos(printer, 3, 22); + GfxPrint_Printf(printer, "TUNE "); + + for (i = 0; i < 8; i++) { // s3, s6 + GfxPrint_SetPos(printer, (i + 5) * 3, 22); + GfxPrint_Printf(printer, "%02X ", + gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->soundScriptIO[i]); + } + + if (gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->enabled) { + GfxPrint_SetPos(printer, 15, 11); + GfxPrint_Printf(printer, "%d", + (u32)(gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->volume * 127.1) & + 0xFF); + + GfxPrint_SetPos(printer, 15, 12); + GfxPrint_Printf(printer, "%d", + (u32)(gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->volumeScale * 127.1) & + 0xFF); + + GfxPrint_SetPos(printer, 15, 13); + GfxPrint_Printf(printer, "%X", gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->bankId); + + instOrWave = (u8)gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->instOrWave; + if (instOrWave == 0) { + inst = 0x7F; + } else { + inst = instOrWave; + if (instOrWave < 0x80) { + inst--; + } + } + + GfxPrint_SetPos(printer, 15, 14); + GfxPrint_Printf(printer, "%d", inst); + + GfxPrint_SetPos(printer, 15, 15); + GfxPrint_Printf(printer, "%d", gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->newPan); + + GfxPrint_SetPos(printer, 15, 16); + GfxPrint_Printf(printer, "%d", + gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->panChannelWeight); + + GfxPrint_SetPos(printer, 15, 17); + GfxPrint_Printf(printer, "%d", gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->reverb); + + GfxPrint_SetPos(printer, 15, 18); + GfxPrint_Printf(printer, "%d", gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->notePriority); + + GfxPrint_SetPos(printer, 15, 19); + GfxPrint_Printf(printer, "%d", + (gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->vibratoRateTarget / 32) & + 0xFF); + + GfxPrint_SetPos(printer, 15, 20); + GfxPrint_Printf(printer, "%d", + (gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->vibratoExtentTarget / 8) & + 0xFF); + + GfxPrint_SetPos(printer, 15, 21); + GfxPrint_Printf(printer, "%d", + (u32)(gAudioContext.seqPlayers[D_80131F28].channels[D_80131F2C]->freqScale * 100.0f) & + 0xFFFF); + } + break; + + case 3: + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 4); + GfxPrint_Printf(printer, "TOTAL %d", D_8014A6C4.heap); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "DRIVER %05X / %05X", + gAudioContext.notesAndBuffersPool.cur - gAudioContext.notesAndBuffersPool.start, + gAudioContext.notesAndBuffersPool.size); + + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf( + printer, "AT-SEQ %02X-%02X (%05X-%05X / %05X)", (u8)gAudioContext.seqLoadedPool.temporary.entries[0].id, + (u8)gAudioContext.seqLoadedPool.temporary.entries[1].id, + gAudioContext.seqLoadedPool.temporary.entries[0].size, + gAudioContext.seqLoadedPool.temporary.entries[1].size, gAudioContext.seqLoadedPool.temporary.pool.size); + + GfxPrint_SetPos(printer, 3, 7); + GfxPrint_Printf(printer, "AT-BNK %02X-%02X (%05X-%05X / %05X)", + (u8)gAudioContext.bankLoadedPool.temporary.entries[0].id, + (u8)gAudioContext.bankLoadedPool.temporary.entries[1].id, + gAudioContext.bankLoadedPool.temporary.entries[0].size, + gAudioContext.bankLoadedPool.temporary.entries[1].size, + gAudioContext.bankLoadedPool.temporary.pool.size); + + GfxPrint_SetPos(printer, 3, 8); + GfxPrint_Printf( + printer, "ST-SEQ %02Xseqs (%05X / %06X)", gAudioContext.seqLoadedPool.persistent.numEntries, + gAudioContext.seqLoadedPool.persistent.pool.cur - gAudioContext.seqLoadedPool.persistent.pool.start, + gAudioContext.seqLoadedPool.persistent.pool.size); + + for (k = 0; k < gAudioContext.seqLoadedPool.persistent.numEntries; k++) { // s2 (s32 loop) + GfxPrint_SetPos(printer, (k + 1) * 3, 9); + GfxPrint_Printf(printer, "%02x", gAudioContext.seqLoadedPool.persistent.entries[k].id); + } + + GfxPrint_SetPos(printer, 3, 10); + GfxPrint_Printf( + printer, "ST-BNK %02Xbanks (%05X / %06X)", gAudioContext.bankLoadedPool.persistent.numEntries, + gAudioContext.bankLoadedPool.persistent.pool.cur - gAudioContext.bankLoadedPool.persistent.pool.start, + gAudioContext.bankLoadedPool.persistent.pool.size); + + for (k = 0; k < gAudioContext.bankLoadedPool.persistent.numEntries; k++) { // s2 (s32 loop) + GfxPrint_SetPos(printer, (k + 1) * 3, 11); + GfxPrint_Printf(printer, "%02x", gAudioContext.bankLoadedPool.persistent.entries[k].id); + } + + GfxPrint_SetPos(printer, 3, 12); + GfxPrint_Printf(printer, "E-MEM %05X / %05X", gAudioContext.unk_2D50.cur - gAudioContext.unk_2D50.start, + gAudioContext.unk_2D50.size); + break; + + case 9: + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 4); + GfxPrint_Printf(printer, "BGM No. %02X", D_80131F4C[0]); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "SCENE SET %02X %s", D_80131F4C[1], D_80131F44[D_80131F4C[1]]); + + SETCOL(0x64, 255, 0x64); + GfxPrint_SetPos(printer, 2, D_80131F50 + 4); + GfxPrint_Printf(printer, "*"); + + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 7); + GfxPrint_Printf(printer, "NEXT SCENE %02X %s", (u8)gAudioContext.seqPlayers[0].unk_158[2], + D_80131F44[(u8)gAudioContext.seqPlayers[0].unk_158[2]]); + + GfxPrint_SetPos(printer, 3, 8); + GfxPrint_Printf(printer, "NOW SCENE %02X %s", (u8)gAudioContext.seqPlayers[0].unk_158[4], + D_80131F44[(u8)gAudioContext.seqPlayers[0].unk_158[4]]); + + GfxPrint_SetPos(printer, 3, 9); + GfxPrint_Printf(printer, "NOW BLOCK %02X", (gAudioContext.seqPlayers[0].unk_158[5] + 1) & 0xFF); + + GfxPrint_SetPos(printer, 3, 11); + GfxPrint_Printf(printer, "PORT"); + + GfxPrint_SetPos(printer, 3, 12); + GfxPrint_Printf(printer, "%02X %02X %02X %02X", (u8)gAudioContext.seqPlayers[0].unk_158[0], + (u8)gAudioContext.seqPlayers[0].unk_158[1], (u8)gAudioContext.seqPlayers[0].unk_158[2], + (u8)gAudioContext.seqPlayers[0].unk_158[3]); + + GfxPrint_SetPos(printer, 3, 13); + GfxPrint_Printf(printer, "%02X %02X %02X %02X", (u8)gAudioContext.seqPlayers[0].unk_158[4], + (u8)gAudioContext.seqPlayers[0].unk_158[5], (u8)gAudioContext.seqPlayers[0].unk_158[6], + (u8)gAudioContext.seqPlayers[0].unk_158[7]); + break; + + case 11: + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 4); + GfxPrint_Printf(printer, "SEQ INFO : %2d %02x %d", sDisplayedStaff.noteIdx, sDisplayedStaff.state, + sDisplayedStaff.pos); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "PLAY INFO : %2d %02x %d", D_8016B9F8.noteIdx, D_8016B9F8.state, D_8016B9F8.pos); + + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf(printer, "8note REC POINTER : %08x", gScarecrowSpawnSongPtr); + + songInd = 0; // s2 + for (j = 0; j < 4; j++) { // s0, a0 + for (i = 0; i < 8; i++) { // s3, s6 + GfxPrint_SetPos(printer, (i + 1) * 3, j + 7); + GfxPrint_Printf(printer, "%02x", gScarecrowSpawnSongPtr[songInd++]); + } + } + + GfxPrint_SetPos(printer, 3, 24); + GfxPrint_Printf(printer, "OCA:%02x SEQ:%04x PLAY:%02x REC:%02x", D_80130F10, D_80130F3C, sPlaybackState, + D_80131858); + break; + + case 12: + GfxPrint_SetPos(printer, 2, D_80131F18 + 4); + SETCOL(127, 255, 127); + GfxPrint_Printf(printer, "*"); + + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 4); + GfxPrint_Printf(printer, "SE HD : %02x %s", D_80131F20[0], D_80131E38[D_80131F20[0]]); + + GfxPrint_SetPos(printer, 3, 5); + GfxPrint_Printf(printer, "SE No. : %02x", D_80131F20[1]); + + GfxPrint_SetPos(printer, 20, 6); + GfxPrint_Printf(printer, " : %04x", D_8013331C[D_80131F20[0]][D_80131F20[1]].unk_2); + + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf(printer, "SE SW %s", func_800EE9D0(D_8013331C[D_80131F20[0]][D_80131F20[1]].unk_2, 16)); + + SETCOL(127, 255, 127); + digitStr[0] = (char)('0' + ((D_8013331C[D_80131F20[0]][D_80131F20[1]].unk_2 >> (15 - D_80131F1C)) & 1)); + GfxPrint_SetPos(printer, D_80131F1C + 12, 6); + GfxPrint_Printf(printer, "%s", &digitStr); + + SETCOL(255, 255, 255); + GfxPrint_SetPos(printer, 3, 7); + GfxPrint_Printf(printer, "SE PR : %02x", D_8013331C[D_80131F20[0]][D_80131F20[1]].unk_0); + break; + + case 14: + GfxPrint_SetPos(printer, 3, 4); + SETCOL(255, 255, 255); + GfxPrint_Printf(printer, "env_fx %d code_fx %d SPEC %d", D_80130614, D_80130618, D_80133414); + + if (sAudioUpdateTaskEnd == sAudioUpdateTaskStart) { + D_80131C90 = (f32)((sAudioUpdateEndTime - sAudioUpdateStartTime) * 64ULL / 3) / 50000000.0f; + if (D_80131C94 < D_80131C90) { + D_80131C94 = D_80131C90; + } + } + + GfxPrint_SetPos(printer, 3, 6); + GfxPrint_Printf(printer, "SOUND GAME FRAME NOW %f", D_80131C90); + + GfxPrint_SetPos(printer, 3, 7); + GfxPrint_Printf(printer, "SOUND GAME FRAME MAX %f", D_80131C94); + + GfxPrint_SetPos(printer, 3, 9); + GfxPrint_Printf(printer, "SWITCH BGM MODE %d %d %d (FLAG %d)", D_8013061C, D_80130634, D_80130638, + D_80130654); + + GfxPrint_SetPos(printer, 3, 10); + GfxPrint_Printf(printer, "ENEMY DIST %f VOL %3d", D_80130620, D_80130624); + + GfxPrint_SetPos(printer, 3, 11); + GfxPrint_Printf(printer, "GANON DIST VOL %3d", D_8016B8B4); + + GfxPrint_SetPos(printer, 3, 12); + GfxPrint_Printf(printer, "DEMO FLAG %d", D_8013060C); + + GfxPrint_SetPos(printer, 3, 12); + if (D_8016BAA8 == 1) { + GfxPrint_Printf(printer, "MARON BGM DIST %f", D_8016BAAC); + D_8016BAA8 = 0; + } + + GfxPrint_SetPos(printer, 3, 23); + if (D_80131F64 != 0) { + GfxPrint_Printf(printer, "NATURE FAILED %01x", D_80131F64); + } + + GfxPrint_SetPos(printer, 3, 24); + if (D_8013064C != 0) { + GfxPrint_Printf(printer, "SARIA BGM PTR %08x", D_8013064C); + } + + GfxPrint_SetPos(printer, 3, 25); + GfxPrint_Printf(printer, "POLI %d(%d)", D_80131F68, numEnabledNotes); + + for (i = 0; i < 11; i++) { // s3, s6 + GfxPrint_SetPos(printer, (i + 1) * 3, 26); + GfxPrint_Printf(printer, "%d", D_8016B9E0[i]); + } + break; + } +#undef SETCOL_COMMON +#undef SETCOL +#undef SETCOL2 +} +#else +#pragma GLOBAL_ASM("asm/non_matchings/code/code_800EC960/func_800EEA50.s") +#endif -extern u16 D_80131E14; void func_800F1BDC(void) { u16 phi_t1 = 1; @@ -1176,12 +1864,12 @@ void func_800F1BDC(void) { if (D_80131CA4 > 0) { D_80131CA4--; } else { - D_80131CA4 = 0xA; + D_80131CA4 = 10; } } if (CHECK_BTN_ANY(sDebugPadPress, BTN_DDOWN)) { - if (D_80131CA4 < 0xA) { + if (D_80131CA4 < 10) { D_80131CA4++; } else { D_80131CA4 = 0; @@ -1241,7 +1929,7 @@ void func_800F1BDC(void) { break; case 6: Audio_SeqCmdF(0, D_80131E08[D_80131CA4]); - D_80131EFC = D_80131E14; + D_80131EFC = D_80131E08[6]; if (gAudioSessionPresets[D_80131EFC].numSequencePlayers - 1 < D_80131F28) { D_80131F28 = gAudioSessionPresets[D_80131EFC].numSequencePlayers - 1; } @@ -1327,15 +2015,6 @@ void func_800F2150(void) { } } -extern u8 D_80131ED0; -extern u8 D_80131ED4; -extern u8 D_80131ED8; -extern s8 D_80131EDC; -extern s8 D_80131EE0; -extern u8 D_80131EE4[]; -extern u8 D_80131EF0[]; -extern u8 D_801333F0; - void func_800F227C(void) { if (D_80131EE4[0] != 0) { @@ -1358,8 +2037,8 @@ void func_800F227C(void) { } if (CHECK_BTN_ANY(sDebugPadPress, BTN_B)) { - D_80131ED4 = 0; - D_80131ED8 = 0; + sAudioScreenPrintInd = 0; + sAudioScreenPrintOverflow = 0; } } @@ -1372,7 +2051,7 @@ void func_800F227C(void) { } if (CHECK_BTN_ANY(sDebugPadPress, BTN_DDOWN)) { - if (D_80131ED0 < 0xA) { + if (D_80131ED0 < 10) { D_80131ED0++; } else { D_80131ED0 = 0; @@ -1396,20 +2075,14 @@ void func_800F227C(void) { } D_801333F0 = D_80131EE4[3] + (D_80131EE4[4] * 2) + (D_80131EE4[5] * 4) + (D_80131EE4[6] * 8) + - (D_80131EE4[7] * 0x10) + (D_80131EE4[8] * 32); + (D_80131EE4[7] * 0x10) + (D_80131EE4[8] * 0x20); } -extern u8 D_80131F00; -extern u8 D_80131F04; -extern u8 D_80131F08; -extern u16 D_8016E2E0[]; -extern u16 D_8016E2F8[]; -extern u8 D_801333F4; -extern u8 D_8016E310[]; #ifdef NON_MATCHING +// regalloc near phi_v1 void func_800F2464(void) { - s16 phi_t1; - u16 new_var; + s16 delta; + u16 val; u8 phi_v1; if (D_80131F00 == 0) { @@ -1429,7 +2102,6 @@ void func_800F2464(void) { } phi_v1 = 9; - phi_t1 = phi_t1; if ((sDebugPadPress & 0x8000) != 0) { D_80131F00 = 1; } @@ -1446,9 +2118,7 @@ void func_800F2464(void) { phi_v1 = 9; } D_8016E2E0[D_80131F04] = D_8016E2E0[phi_v1]; - if (!D_80131F08) { - ; - } + if (D_80131F08) {} D_8016E2F8[D_80131F04] = D_8016E2F8[phi_v1]; } } else { @@ -1469,23 +2139,23 @@ void func_800F2464(void) { if (((sDebugPadPress & 0x800) != 0) || ((sDebugPadPress & 0x400) != 0)) { if ((sDebugPadPress & 0x800) != 0) { - phi_t1 = (D_8016BAB0 & 8) != 0 ? 8 : 1; + delta = (D_8016BAB0 & 8) != 0 ? 8 : 1; } if ((sDebugPadPress & 0x400) != 0) { - phi_t1 = (D_8016BAB0 & 8) != 0 ? -8 : -1; + delta = (D_8016BAB0 & 8) != 0 ? -8 : -1; } if (D_80131F08 < 4) { - new_var = (u16)(((D_8016E2E0[D_80131F04] >> (((-D_80131F08) * 4) + 0xC)) + phi_t1) & 0xF); - D_8016E2E0[D_80131F04] = - (u16)((D_8016E2E0[D_80131F04] & ((0xF << (((-D_80131F08) * 4) + 0xC)) ^ 0xFFFF)) + - (new_var << (((-D_80131F08) * 4) + 0xC))); + val = D_8016E2E0[D_80131F04] >> (((-D_80131F08) * 4) + 0xC); + val = (val + delta) & 0xF; + D_8016E2E0[D_80131F04] = (D_8016E2E0[D_80131F04] & ((0xF << (((-D_80131F08) * 4) + 0xC)) ^ 0xFFFF)) + + (val << (((-D_80131F08) * 4) + 0xC)); } else { - new_var = (u16)(((D_8016E2F8[D_80131F04] >> (((-D_80131F08) * 4) + 0x1C)) + phi_t1) & 0xF); - D_8016E2F8[D_80131F04] = - (u16)((D_8016E2F8[D_80131F04] & ((0xF << (((-D_80131F08) * 4) + 0x1C)) ^ 0xFFFF)) + - (new_var << (((-D_80131F08) * 4) + 0x1C))); + val = D_8016E2F8[D_80131F04] >> (((-D_80131F08) * 4) + 0x1C); + val = (val + delta) & 0xF; + D_8016E2F8[D_80131F04] = (D_8016E2F8[D_80131F04] & ((0xF << (((-D_80131F08) * 4) + 0x1C)) ^ 0xFFFF)) + + (val << (((-D_80131F08) * 4) + 0x1C)); } } @@ -1586,12 +2256,6 @@ void func_800F28B4(void) { void func_800F29FC(void) { } -extern u8 D_80131F18; -extern u16 D_80131F20[]; - -extern u16 D_80131E24; -extern u8 D_80131F1C; - void func_800F2A04(void) { s32 phi_a2; u16 sfx; @@ -1623,7 +2287,7 @@ void func_800F2A04(void) { if (D_80131F20[D_80131F18] > 0) { D_80131F20[D_80131F18]--; } else { - D_80131F20[D_80131F18] = D_80131E24 - 1; + D_80131F20[D_80131F18] = D_80131E20[2] - 1; } } else { D_80131F20[D_80131F18] -= phi_a2; @@ -1639,7 +2303,7 @@ void func_800F2A04(void) { if (CHECK_BTN_ANY(sDebugPadPress, BTN_DRIGHT)) { if (D_80131F18 < 2) { if (D_80131F18 == 0) { - if (D_80131F20[D_80131F18] < (D_80131E24 - 1)) { + if (D_80131F20[D_80131F18] < (D_80131E20[2] - 1)) { D_80131F20[D_80131F18]++; } else { D_80131F20[D_80131F18] = 0; @@ -1675,26 +2339,26 @@ void func_800F2A04(void) { } } -void func_800F2D6C(s8* arg0, u16 arg1) { +void func_800F2D6C(const s8* str, u16 num) { u8 i = 0; - D_8016B7E8[D_80131ED4].unk_06 = arg1; + sAudioScreenPrintBuf[sAudioScreenPrintInd].num = num; - while (arg0[i] != 0) { - D_8016B7E8[D_80131ED4].unk_00[i] = arg0[i]; + while (str[i] != 0) { + sAudioScreenPrintBuf[sAudioScreenPrintInd].str[i] = str[i]; i++; } while (i < 5) { - D_8016B7E8[D_80131ED4].unk_00[i] = 0; + sAudioScreenPrintBuf[sAudioScreenPrintInd].str[i] = 0; i++; } - if (D_80131ED4 < 0x18) { - D_80131ED4++; + if (sAudioScreenPrintInd < 25 - 1) { + sAudioScreenPrintInd++; } else { - D_80131ED4 = 0; - D_80131ED8 = 1; + sAudioScreenPrintInd = 0; + sAudioScreenPrintOverflow = 1; } } @@ -1731,7 +2395,8 @@ void func_800F2E28(void) { if (CHECK_BTN_ANY(sDebugPadPress, BTN_Z)) { // change text color - D_80131CA8 = (u32)(++D_80131CA8) % 8; + D_80131CA8++; + D_80131CA8 &= 7; } switch (D_80131CA0) { @@ -1785,7 +2450,7 @@ void func_800F2E28(void) { break; } - D_8013340C = D_80131EEE; + D_8013340C = D_80131EE4[10]; } } @@ -1886,7 +2551,7 @@ s8 func_800F32F0(u8 arg0, u8 arg1, u8 arg2) { if (temp_a3->unk_1C < 2500.0f) { phi_v0 = *temp_a3->posZ > 0.0f ? (temp_a3->unk_1C / 2500.0f) * 70.0f : (temp_a3->unk_1C / 2500.0f) * 91.0f; } else { - phi_v0 = 0x46; + phi_v0 = 70; } } @@ -2018,12 +2683,12 @@ u8 func_800F37B8(f32 arg0, SoundBankEntry* arg1, s8 arg2) { f32 phi_f12; if (*arg1->posZ < arg0) { - phi_v0 = arg2 < 0x41 ? arg2 : 0x7F - arg2; + phi_v0 = arg2 < 65 ? arg2 : 0x7F - arg2; - if (phi_v0 < 0x1E) { + if (phi_v0 < 30) { phi_v1 = 0; } else { - phi_v1 = (((phi_v0 & 0xFFFF) * 0xA) - 0x12C) / 0x22; + phi_v1 = (((phi_v0 & 0xFFFF) * 10) - 300) / 34; if (phi_v1 != 0) { phi_v1 = 0x10 - phi_v1; } @@ -2067,7 +2732,7 @@ s8 func_800F3990(f32 arg0, u16 arg1) { if (arg0 >= 0.0f) { if (arg0 > 625.0f) { - ret = 0x7F; + ret = 127; } else { ret = (arg0 / 625.0f) * 126.0f; } @@ -2075,12 +2740,11 @@ s8 func_800F3990(f32 arg0, u16 arg1) { return ret | 1; } -extern f32 D_801305C4[]; void func_800F3A08(u8 bankIdx, u8 entryIdx, u8 channelIdx) { f32 sp44; s8 phi_a1; - s8 sp42; - f32 sp3C; + s8 reverb; + f32 freqScale; s8 sp3B; u8 sp3A; u8 sp39; @@ -2089,13 +2753,13 @@ void func_800F3A08(u8 bankIdx, u8 entryIdx, u8 channelIdx) { u8 sp33; SoundBankEntry* temp_a3; - sp42 = 0; + reverb = 0; sp3B = 0x40; sp3A = 0; sp39 = 0; sp38 = 0; sp33 = 0; - sp3C = 1.0f; + freqScale = 1.0f; sp44 = 1.0f; temp_a3 = &gSoundBanks[bankIdx][entryIdx]; switch (bankIdx) { @@ -2110,11 +2774,11 @@ void func_800F3A08(u8 bankIdx, u8 entryIdx, u8 channelIdx) { case BANK_OCARINA: temp_a3->unk_1C = sqrtf(temp_a3->unk_1C); sp44 = func_800F3188(bankIdx, entryIdx) * *temp_a3->unk_14; - sp42 = func_800F32F0(bankIdx, entryIdx, channelIdx); + reverb = func_800F32F0(bankIdx, entryIdx, channelIdx); sp3B = func_800F3468(*temp_a3->posX, *temp_a3->posZ, temp_a3->unk_C); - sp3C = func_800F35EC(bankIdx, entryIdx) * *temp_a3->unk_10; + freqScale = func_800F35EC(bankIdx, entryIdx) * *temp_a3->unk_10; if (D_80130604 == 2) { - sp34 = D_801305C4[(temp_a3->unk_26 & 0x400) >> 0xA]; + sp34 = D_801305C4[(temp_a3->unk_26 & 0x400) >> 10]; if (!(temp_a3->unk_26 & 0x800)) { if (*temp_a3->posZ < sp34) { sp3A = 0x10; @@ -2132,7 +2796,7 @@ void func_800F3A08(u8 bankIdx, u8 entryIdx, u8 channelIdx) { } } if (D_8013063C != 0) { - if ((bankIdx == 1) || (bankIdx == 0) || (bankIdx == 6)) { + if ((bankIdx == BANK_ITEM) || (bankIdx == BANK_PLAYER) || (bankIdx == BANK_VOICE)) { sp33 = D_8013063C; } } @@ -2157,13 +2821,13 @@ void func_800F3A08(u8 bankIdx, u8 entryIdx, u8 channelIdx) { } Audio_QueueCmdS8(0x6020000 | (channelIdx << 8) | 2, phi_a1); - if (sp42 != D_8016B8B8[channelIdx].unk_08) { - Audio_QueueCmdS8(0x5020000 | (channelIdx << 8), sp42); - D_8016B8B8[channelIdx].unk_08 = sp42; + if (reverb != D_8016B8B8[channelIdx].unk_08) { + Audio_QueueCmdS8(0x5020000 | (channelIdx << 8), reverb); + D_8016B8B8[channelIdx].unk_08 = reverb; } - if (sp3C != D_8016B8B8[channelIdx].unk_04) { - Audio_QueueCmdF32(0x4020000 | (channelIdx << 8), sp3C); - D_8016B8B8[channelIdx].unk_04 = sp3C; + if (freqScale != D_8016B8B8[channelIdx].unk_04) { + Audio_QueueCmdF32(0x4020000 | (channelIdx << 8), freqScale); + D_8016B8B8[channelIdx].unk_04 = freqScale; } if (sp3A != D_8016B8B8[channelIdx].unk_0A) { Audio_QueueCmdS8(0xE020000 | (channelIdx << 8), sp3A | 0x10); @@ -2457,7 +3121,7 @@ void func_800F4A70(void) { if (D_80130600 != 0) { D_80130600--; if (D_80130600 == 0) { - func_800F491C(D_801305FF); + func_800F491C(D_801305F8[7]); } } } @@ -2576,12 +3240,12 @@ void func_800F510C(s8 arg0) { phi_v1 = 0x7F - arg0; } - if (phi_v1 >= 0x65) { - phi_a2 = 0xB; - } else if (phi_v1 < 0x14) { + if (phi_v1 > 100) { + phi_a2 = 11; + } else if (phi_v1 < 20) { phi_a2 = 2; } else { - phi_a2 = ((phi_v1 - 0x14) / 0xA) + 2; + phi_a2 = ((phi_v1 - 20) / 10) + 2; } phi_a1 = 0; @@ -2808,7 +3472,7 @@ void func_800F5C2C(void) { void func_800F5C64(u16 arg0) { u16 sp26; - s32 sp20; + u32 sp20; u8* sp1C; u8* sp18; @@ -2861,9 +3525,6 @@ void func_800F5E18(u8 seqIdx, u16 seqId, u8 fadeTimer, s8 arg3, s8 arg4) { Audio_StartSeq(seqIdx, fadeTimer, seqId); } -extern u8 D_80130654; -extern u32 D_80130634; -extern u32 D_80130638; void func_800F5E90(u8 arg0) { s32 phi_t0; u16 phi_t1; @@ -2957,38 +3618,38 @@ void func_800F6114(f32 arg0) { D_80130620 = arg0; } -#ifdef NON_MATCHING void func_800F6268(f32 arg0, u16 arg1) { - s8 temp_a0; + s8 pad; s8 phi_v1; + s16 temp_a0; D_8016BAA8 = 1; D_8016BAAC = arg0; if (D_8016B9F2 == 0) { - temp_a0 = func_800FA0B4(0); + temp_a0 = (s8)(func_800FA0B4(0) & 0xFF); if (temp_a0 == (arg1 & 0xFF)) { if ((arg1 & 0xFF) == 0x2F) { if (arg0 > 2000.0f) { - phi_v1 = 0x7F; + phi_v1 = 127; } else if (arg0 < 200.0f) { phi_v1 = 0; } else { - phi_v1 = ((arg0 - 200.0f) * 127.0f) / 1800.0f; + phi_v1 = (s8)(((arg0 - 200.0f) * 127.0f) / 1800.0f); } - Audio_SeqCmd6(0, 3, 0, 0x7F - phi_v1); - Audio_SeqCmd6(0, 3, 1, 0x7F - phi_v1); + Audio_SeqCmd6(0, 3, 0, 127 - phi_v1); + Audio_SeqCmd6(0, 3, 1, 127 - phi_v1); Audio_SeqCmd6(0, 3, 13, phi_v1); if (D_8016B9D8[0] == 0) { D_8016B9D8[0]++; } } } else if ((temp_a0 == 1) && ((arg1 & 0xFF) == 0x2F)) { - temp_a0 = func_800FA0B4(3); - if ((temp_a0 != (arg1 & 0xFF)) && (D_8016B9D8[0] < 0xA)) { + temp_a0 = (s8)(func_800FA0B4(3) & 0xFF); + if ((temp_a0 != (arg1 & 0xFF)) && (D_8016B9D8[0] < 10)) { func_800F5E18(3, 0x2F, 0, 0, 0); Audio_SeqCmdA(3, 0xFFFC); - D_8016B9D8[0] = 0xA; + D_8016B9D8[0] = 10; } if (arg0 > 2000.0f) { @@ -2996,21 +3657,17 @@ void func_800F6268(f32 arg0, u16 arg1) { } else if (arg0 < 200.0f) { phi_v1 = 0; } else { - phi_v1 = ((arg0 - 200.0f) * 127.0f) / 1800.0f; + phi_v1 = (s8)(((arg0 - 200.0f) * 127.0f) / 1800.0f); } Audio_SeqCmd6(3, 3, 0, 127 - phi_v1); Audio_SeqCmd6(3, 3, 1, 127 - phi_v1); } - if (D_8016B9D8[0] < 0xA) { + if (D_8016B9D8[0] < 10) { D_8016B9D8[0]++; } } } -#else -void func_800F6268(f32 arg0, u16 arg1); -#pragma GLOBAL_ASM("asm/non_matchings/code/code_800EC960/func_800F6268.s") -#endif void func_800F64E0(u8 arg0) { D_80130608 = arg0; @@ -3142,7 +3799,7 @@ void func_800F6964(u16 arg0) { switch (i) { case 0xB: case 0xC: - if (D_80133414 == 0xA) { + if (D_80133414 == 10) { phi_v1 = 1; } break; @@ -3227,14 +3884,6 @@ void func_800F6C34(void) { D_8016B9F2 = 0; } -typedef struct { - u16 unk_00; - u16 unk_02; - u8 unk_04[0x64]; -} D_801306DC_s; - -extern D_801306DC_s D_801306DC[]; - void func_800F6D58(u8 arg0, u8 arg1, u8 arg2) { u8 t; u8 temp_a0; @@ -3302,8 +3951,8 @@ void func_800F6FB4(u8 arg0) { if ((D_8016E750[0].unk_254 == 0xFFFF) || ((D_80130658[((u8)D_8016E750[0].unk_254) & 0xFFFF] & 0x80) == 0)) { func_800F6E7C(D_801306DC[arg0].unk_00, D_801306DC[arg0].unk_02); - while ((D_801306DC[arg0].unk_04[i] != 0xFF) && (i < 0x64)) { - // Probably a fake match, using Audio_SeqCmd8 deosn't work. + while ((D_801306DC[arg0].unk_04[i] != 0xFF) && (i < 100)) { + // Probably a fake match, using Audio_SeqCmd8 doesn't work. b0 = D_801306DC[arg0].unk_04[i++]; b1 = D_801306DC[arg0].unk_04[i++]; b2 = D_801306DC[arg0].unk_04[i++]; diff --git a/src/code/code_800F7260.c b/src/code/code_800F7260.c index aed3f4cb82..db3180fb1c 100644 --- a/src/code/code_800F7260.c +++ b/src/code/code_800F7260.c @@ -18,27 +18,20 @@ typedef struct { /* 0x0C */ u16 unk_C; } Struct_800F8EA0; // size = 0x10 -u8 D_80133340[4] = { - 0x53, - 0x45, - 0x00, - 0x00, -}; +// rodata for func_800F7680 (this file) +char D_80133340[] = "SE"; +// rodata for func_800F7CEC (this file) char D_80133344[] = VT_COL(RED, WHITE) " dist over! flag:%04X ptr:%08X pos:%f-%f-%f" VT_RST "\n"; +// file padding s32 D_8013338C = 0; -UNK_TYPE D_80133390[] = { - 0x53455120, - 0x48000000, -}; - -UNK_TYPE D_80133398[] = { - 0x20202020, - 0x4C000000, -}; +// rodata for Audio_ProcessSeqCmd (code_800F9280.c) +char D_80133390[] = "SEQ H"; +char D_80133398[] = " L"; +// data u8 D_801333A0 = 0; u8 D_801333A4 = 0; @@ -114,6 +107,8 @@ void Audio_ClearBGMMute(u8 arg0) { } } +// a3 = freq scale factor for ocarina +// a5 = reverb add void Audio_PlaySoundGeneral(u16 sfxId, Vec3f* a1, u8 a2, f32* a3, f32* a4, s8* a5) { u8 i; Struct_800F738C* phi_v0; @@ -559,7 +554,7 @@ void func_800F87A0(u8 bankId) { } bankIndex = gSoundBanks[bankId][0].next; } - sp48.unk_28 = bankId << 0xC; + sp48.unk_28 = bankId << 12; func_800F74E0(0, &sp48); } @@ -588,7 +583,7 @@ void func_800F89A0(u8 bankId, Vec3f* pos) { SoundBankEntry sp18; func_800F8884(bankId, pos); - sp18.unk_28 = bankId << 0xC; + sp18.unk_28 = bankId << 12; sp18.posX = &pos->x; func_800F74E0(1, &sp18); } diff --git a/src/code/code_800F9280.c b/src/code/code_800F9280.c index 6b273f9812..3f93b87bc5 100644 --- a/src/code/code_800F9280.c +++ b/src/code/code_800F9280.c @@ -48,7 +48,6 @@ void func_800F9280(u8 seqIdx, u8 seqId, u8 arg2, u16 fadeTimer) { } } #else -void func_800F9280(u8 seqIdx, u8 seqId, u8 arg2, u16 fadeTimer); #pragma GLOBAL_ASM("asm/non_matchings/code/code_800F9280/func_800F9280.s") #endif diff --git a/src/overlays/gamestates/ovl_select/z_select.c b/src/overlays/gamestates/ovl_select/z_select.c index d8c0e81e7e..ff20e2a520 100644 --- a/src/overlays/gamestates/ovl_select/z_select.c +++ b/src/overlays/gamestates/ovl_select/z_select.c @@ -418,7 +418,7 @@ static char* sLoadingMessages[] = { "\x8Dイマ ツクッテマス", // "Now creating" "\x8Dコショウジャナイヨ", // "It's not broken" "\x8Cコーヒー ブレイク", // "Coffee Break" - "\x8C\Bメンヲセットシテクダサイ", // "Please set B side" + "\x8C" "Bメンヲセットシテクダサイ", // "Please set B side" "\x8Dジット\x8Cガマン\x8Dノ\x8Cコ\x8Dデアッタ", // "Be patient, now" "\x8Dイマシバラクオマチクダサイ", // "Please wait just a minute" "\x8Dアワテナイアワテナイ。ヒトヤスミヒトヤスミ。", // "Don't worry, don't worry. Take a break, take a break" diff --git a/tools/permuter_settings.toml b/tools/permuter_settings.toml index 11ef7d726e..a5ae31156a 100644 --- a/tools/permuter_settings.toml +++ b/tools/permuter_settings.toml @@ -1,6 +1,7 @@ [preserve_macros] "g[DS]P.*" = "void" "gDma.*" = "void" +"a[A-Z].*" = "void" "G_IM_SIZ_.*" = "int" "G_[AC]C.*" = "int" LOG = "void"