1
0
mirror of https://github.com/zeldaret/oot.git synced 2024-09-21 12:54:51 +00:00

Match EnFhgFire_Draw (#291)

* fix colliderinit typo

* match fhgfire draw

* format

* remove macro block
This commit is contained in:
fig02 2020-07-28 22:44:35 -04:00 committed by GitHub
parent 19c990b852
commit b35f2007ad
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
2 changed files with 13 additions and 478 deletions

View File

@ -1,455 +0,0 @@
.rdata
glabel D_80A11920
.asciz "../z_en_fhg_fire.c"
.balign 4
glabel D_80A11934
.asciz "../z_en_fhg_fire.c"
.balign 4
glabel D_80A11948
.asciz "yari hikari draw 1\n"
.balign 4
glabel D_80A1195C
.asciz "../z_en_fhg_fire.c"
.balign 4
glabel D_80A11970
.asciz "../z_en_fhg_fire.c"
.balign 4
glabel D_80A11984
.asciz "FF DRAW 1\n"
.balign 4
glabel D_80A11990
.asciz "../z_en_fhg_fire.c"
.balign 4
glabel D_80A119A4
.asciz "FF DRAW 2\n"
.balign 4
glabel D_80A119B0
.asciz "../z_en_fhg_fire.c"
.balign 4
.late_rodata
glabel D_80A11A04
.word 0x40490FF9, 0x00000000, 0x00000000
.text
glabel EnFhgFire_Draw
/* 01ED8 80A11138 27BDFF48 */ addiu $sp, $sp, 0xFF48 ## $sp = FFFFFF48
/* 01EDC 80A1113C AFBF003C */ sw $ra, 0x003C($sp)
/* 01EE0 80A11140 AFB00038 */ sw $s0, 0x0038($sp)
/* 01EE4 80A11144 AFA400B8 */ sw $a0, 0x00B8($sp)
/* 01EE8 80A11148 AFA500BC */ sw $a1, 0x00BC($sp)
/* 01EEC 80A1114C 8CA50000 */ lw $a1, 0x0000($a1) ## 00000000
/* 01EF0 80A11150 3C0680A1 */ lui $a2, %hi(D_80A11920) ## $a2 = 80A10000
/* 01EF4 80A11154 24C61920 */ addiu $a2, $a2, %lo(D_80A11920) ## $a2 = 80A11920
/* 01EF8 80A11158 27A4009C */ addiu $a0, $sp, 0x009C ## $a0 = FFFFFFE4
/* 01EFC 80A1115C 240706BB */ addiu $a3, $zero, 0x06BB ## $a3 = 000006BB
/* 01F00 80A11160 0C031AB1 */ jal Graph_OpenDisps
/* 01F04 80A11164 00A08025 */ or $s0, $a1, $zero ## $s0 = 00000000
/* 01F08 80A11168 8FA800B8 */ lw $t0, 0x00B8($sp)
/* 01F0C 80A1116C 24010024 */ addiu $at, $zero, 0x0024 ## $at = 00000024
/* 01F10 80A11170 8FAF00BC */ lw $t7, 0x00BC($sp)
/* 01F14 80A11174 8502001C */ lh $v0, 0x001C($t0) ## 0000001C
/* 01F18 80A11178 54410043 */ bnel $v0, $at, .L80A11288
/* 01F1C 80A1117C 24010026 */ addiu $at, $zero, 0x0026 ## $at = 00000026
/* 01F20 80A11180 0C024F61 */ jal func_80093D84
/* 01F24 80A11184 8DE40000 */ lw $a0, 0x0000($t7) ## 00000000
/* 01F28 80A11188 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 01F2C 80A1118C 8FA800B8 */ lw $t0, 0x00B8($sp)
/* 01F30 80A11190 3C19FA00 */ lui $t9, 0xFA00 ## $t9 = FA000000
/* 01F34 80A11194 24580008 */ addiu $t8, $v0, 0x0008 ## $t8 = 00000008
/* 01F38 80A11198 AE1802D0 */ sw $t8, 0x02D0($s0) ## 000002D0
/* 01F3C 80A1119C AC590000 */ sw $t9, 0x0000($v0) ## 00000000
/* 01F40 80A111A0 C5040160 */ lwc1 $f4, 0x0160($t0) ## 00000160
/* 01F44 80A111A4 2401FF00 */ addiu $at, $zero, 0xFF00 ## $at = FFFFFF00
/* 01F48 80A111A8 3C19A5FF */ lui $t9, 0xA5FF ## $t9 = A5FF0000
/* 01F4C 80A111AC 4600218D */ trunc.w.s $f6, $f4
/* 01F50 80A111B0 37394B00 */ ori $t9, $t9, 0x4B00 ## $t9 = A5FF4B00
/* 01F54 80A111B4 3C18FB00 */ lui $t8, 0xFB00 ## $t8 = FB000000
/* 01F58 80A111B8 3C0AE700 */ lui $t2, 0xE700 ## $t2 = E7000000
/* 01F5C 80A111BC 440C3000 */ mfc1 $t4, $f6
/* 01F60 80A111C0 3C0580A1 */ lui $a1, %hi(D_80A11934) ## $a1 = 80A10000
/* 01F64 80A111C4 24A51934 */ addiu $a1, $a1, %lo(D_80A11934) ## $a1 = 80A11934
/* 01F68 80A111C8 318D00FF */ andi $t5, $t4, 0x00FF ## $t5 = 00000000
/* 01F6C 80A111CC 01A17025 */ or $t6, $t5, $at ## $t6 = FFFFFF00
/* 01F70 80A111D0 AC4E0004 */ sw $t6, 0x0004($v0) ## 00000004
/* 01F74 80A111D4 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 01F78 80A111D8 3C0CDA38 */ lui $t4, 0xDA38 ## $t4 = DA380000
/* 01F7C 80A111DC 358C0003 */ ori $t4, $t4, 0x0003 ## $t4 = DA380003
/* 01F80 80A111E0 244F0008 */ addiu $t7, $v0, 0x0008 ## $t7 = 00000008
/* 01F84 80A111E4 AE0F02D0 */ sw $t7, 0x02D0($s0) ## 000002D0
/* 01F88 80A111E8 AC580000 */ sw $t8, 0x0000($v0) ## 00000000
/* 01F8C 80A111EC AC590004 */ sw $t9, 0x0004($v0) ## 00000004
/* 01F90 80A111F0 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 01F94 80A111F4 240606D1 */ addiu $a2, $zero, 0x06D1 ## $a2 = 000006D1
/* 01F98 80A111F8 24490008 */ addiu $t1, $v0, 0x0008 ## $t1 = 00000008
/* 01F9C 80A111FC AE0902D0 */ sw $t1, 0x02D0($s0) ## 000002D0
/* 01FA0 80A11200 AC4A0000 */ sw $t2, 0x0000($v0) ## 00000000
/* 01FA4 80A11204 AC400004 */ sw $zero, 0x0004($v0) ## 00000004
/* 01FA8 80A11208 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 01FAC 80A1120C 244B0008 */ addiu $t3, $v0, 0x0008 ## $t3 = 00000008
/* 01FB0 80A11210 AE0B02D0 */ sw $t3, 0x02D0($s0) ## 000002D0
/* 01FB4 80A11214 AC4C0000 */ sw $t4, 0x0000($v0) ## 00000000
/* 01FB8 80A11218 8FAD00BC */ lw $t5, 0x00BC($sp)
/* 01FBC 80A1121C 8DA40000 */ lw $a0, 0x0000($t5) ## 00000000
/* 01FC0 80A11220 0C0346A2 */ jal Matrix_NewMtx
/* 01FC4 80A11224 AFA2008C */ sw $v0, 0x008C($sp)
/* 01FC8 80A11228 8FA3008C */ lw $v1, 0x008C($sp)
/* 01FCC 80A1122C 3C040601 */ lui $a0, 0x0601 ## $a0 = 06010000
/* 01FD0 80A11230 2484FCF8 */ addiu $a0, $a0, 0xFCF8 ## $a0 = 0600FCF8
/* 01FD4 80A11234 AC620004 */ sw $v0, 0x0004($v1) ## 00000004
/* 01FD8 80A11238 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 01FDC 80A1123C 0004C100 */ sll $t8, $a0, 4
/* 01FE0 80A11240 0018CF02 */ srl $t9, $t8, 28
/* 01FE4 80A11244 244E0008 */ addiu $t6, $v0, 0x0008 ## $t6 = 00000008
/* 01FE8 80A11248 AE0E02D0 */ sw $t6, 0x02D0($s0) ## 000002D0
/* 01FEC 80A1124C 00194880 */ sll $t1, $t9, 2
/* 01FF0 80A11250 3C0FDE00 */ lui $t7, 0xDE00 ## $t7 = DE000000
/* 01FF4 80A11254 3C0A8016 */ lui $t2, %hi(gSegments)
/* 01FF8 80A11258 01495021 */ addu $t2, $t2, $t1
/* 01FFC 80A1125C 3C0100FF */ lui $at, 0x00FF ## $at = 00FF0000
/* 02000 80A11260 AC4F0000 */ sw $t7, 0x0000($v0) ## 00000000
/* 02004 80A11264 8D4A6FA8 */ lw $t2, %lo(gSegments)($t2)
/* 02008 80A11268 3421FFFF */ ori $at, $at, 0xFFFF ## $at = 00FFFFFF
/* 0200C 80A1126C 00815824 */ and $t3, $a0, $at
/* 02010 80A11270 3C018000 */ lui $at, 0x8000 ## $at = 80000000
/* 02014 80A11274 014B6021 */ addu $t4, $t2, $t3
/* 02018 80A11278 01816821 */ addu $t5, $t4, $at
/* 0201C 80A1127C 1000012E */ beq $zero, $zero, .L80A11738
/* 02020 80A11280 AC4D0004 */ sw $t5, 0x0004($v0) ## 00000004
/* 02024 80A11284 24010026 */ addiu $at, $zero, 0x0026 ## $at = 00000026
.L80A11288:
/* 02028 80A11288 10410004 */ beq $v0, $at, .L80A1129C
/* 0202C 80A1128C 3C0480A1 */ lui $a0, %hi(D_80A11948) ## $a0 = 80A10000
/* 02030 80A11290 24010032 */ addiu $at, $zero, 0x0032 ## $at = 00000032
/* 02034 80A11294 54410058 */ bnel $v0, $at, .L80A113F8
/* 02038 80A11298 24010027 */ addiu $at, $zero, 0x0027 ## $at = 00000027
.L80A1129C:
/* 0203C 80A1129C 0C00084C */ jal osSyncPrintf
/* 02040 80A112A0 24841948 */ addiu $a0, $a0, %lo(D_80A11948) ## $a0 = 80A11948
/* 02044 80A112A4 8FA400BC */ lw $a0, 0x00BC($sp)
/* 02048 80A112A8 3C010001 */ lui $at, 0x0001 ## $at = 00010000
/* 0204C 80A112AC 34211DA0 */ ori $at, $at, 0x1DA0 ## $at = 00011DA0
/* 02050 80A112B0 0C0347F5 */ jal func_800D1FD4
/* 02054 80A112B4 00812021 */ addu $a0, $a0, $at
/* 02058 80A112B8 8FAE00BC */ lw $t6, 0x00BC($sp)
/* 0205C 80A112BC 0C024F61 */ jal func_80093D84
/* 02060 80A112C0 8DC40000 */ lw $a0, 0x0000($t6) ## 00000000
/* 02064 80A112C4 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02068 80A112C8 8FA800B8 */ lw $t0, 0x00B8($sp)
/* 0206C 80A112CC 3C18FA00 */ lui $t8, 0xFA00 ## $t8 = FA000000
/* 02070 80A112D0 244F0008 */ addiu $t7, $v0, 0x0008 ## $t7 = 00000008
/* 02074 80A112D4 AE0F02D0 */ sw $t7, 0x02D0($s0) ## 000002D0
/* 02078 80A112D8 AC580000 */ sw $t8, 0x0000($v0) ## 00000000
/* 0207C 80A112DC C5080160 */ lwc1 $f8, 0x0160($t0) ## 00000160
/* 02080 80A112E0 2401FF00 */ addiu $at, $zero, 0xFF00 ## $at = FFFFFF00
/* 02084 80A112E4 3C18FB00 */ lui $t8, 0xFB00 ## $t8 = FB000000
/* 02088 80A112E8 4600428D */ trunc.w.s $f10, $f8
/* 0208C 80A112EC 3C0AFB00 */ lui $t2, 0xFB00 ## $t2 = FB000000
/* 02090 80A112F0 440B5000 */ mfc1 $t3, $f10
/* 02094 80A112F4 00000000 */ nop
/* 02098 80A112F8 316C00FF */ andi $t4, $t3, 0x00FF ## $t4 = 00000000
/* 0209C 80A112FC 01816825 */ or $t5, $t4, $at ## $t5 = FFFFFF00
/* 020A0 80A11300 AC4D0004 */ sw $t5, 0x0004($v0) ## 00000004
/* 020A4 80A11304 850E0158 */ lh $t6, 0x0158($t0) ## 00000158
/* 020A8 80A11308 59C0000A */ blezl $t6, .L80A11334
/* 020AC 80A1130C 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 020B0 80A11310 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 020B4 80A11314 3C1900FF */ lui $t9, 0x00FF ## $t9 = 00FF0000
/* 020B8 80A11318 3739FF00 */ ori $t9, $t9, 0xFF00 ## $t9 = 00FFFF00
/* 020BC 80A1131C 244F0008 */ addiu $t7, $v0, 0x0008 ## $t7 = 00000008
/* 020C0 80A11320 AE0F02D0 */ sw $t7, 0x02D0($s0) ## 000002D0
/* 020C4 80A11324 AC590004 */ sw $t9, 0x0004($v0) ## 00000004
/* 020C8 80A11328 10000008 */ beq $zero, $zero, .L80A1134C
/* 020CC 80A1132C AC580000 */ sw $t8, 0x0000($v0) ## 00000000
/* 020D0 80A11330 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
.L80A11334:
/* 020D4 80A11334 3C0BA5FF */ lui $t3, 0xA5FF ## $t3 = A5FF0000
/* 020D8 80A11338 356B4B00 */ ori $t3, $t3, 0x4B00 ## $t3 = A5FF4B00
/* 020DC 80A1133C 24490008 */ addiu $t1, $v0, 0x0008 ## $t1 = 00000008
/* 020E0 80A11340 AE0902D0 */ sw $t1, 0x02D0($s0) ## 000002D0
/* 020E4 80A11344 AC4B0004 */ sw $t3, 0x0004($v0) ## 00000004
/* 020E8 80A11348 AC4A0000 */ sw $t2, 0x0000($v0) ## 00000000
.L80A1134C:
/* 020EC 80A1134C 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 020F0 80A11350 3C0DE700 */ lui $t5, 0xE700 ## $t5 = E7000000
/* 020F4 80A11354 3C014700 */ lui $at, 0x4700 ## $at = 47000000
/* 020F8 80A11358 244C0008 */ addiu $t4, $v0, 0x0008 ## $t4 = 00000008
/* 020FC 80A1135C AE0C02D0 */ sw $t4, 0x02D0($s0) ## 000002D0
/* 02100 80A11360 AC400004 */ sw $zero, 0x0004($v0) ## 00000004
/* 02104 80A11364 AC4D0000 */ sw $t5, 0x0000($v0) ## 00000000
/* 02108 80A11368 850E00B8 */ lh $t6, 0x00B8($t0) ## 000000B8
/* 0210C 80A1136C 44812000 */ mtc1 $at, $f4 ## $f4 = 32768.00
/* 02110 80A11370 3C0180A1 */ lui $at, %hi(D_80A11A04) ## $at = 80A10000
/* 02114 80A11374 448E8000 */ mtc1 $t6, $f16 ## $f16 = 0.00
/* 02118 80A11378 C4281A04 */ lwc1 $f8, %lo(D_80A11A04)($at)
/* 0211C 80A1137C 24050001 */ addiu $a1, $zero, 0x0001 ## $a1 = 00000001
/* 02120 80A11380 468084A0 */ cvt.s.w $f18, $f16
/* 02124 80A11384 46049183 */ div.s $f6, $f18, $f4
/* 02128 80A11388 46083302 */ mul.s $f12, $f6, $f8
/* 0212C 80A1138C 0C0343B5 */ jal Matrix_RotateZ
/* 02130 80A11390 00000000 */ nop
/* 02134 80A11394 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02138 80A11398 3C18DA38 */ lui $t8, 0xDA38 ## $t8 = DA380000
/* 0213C 80A1139C 37180003 */ ori $t8, $t8, 0x0003 ## $t8 = DA380003
/* 02140 80A113A0 244F0008 */ addiu $t7, $v0, 0x0008 ## $t7 = 00000008
/* 02144 80A113A4 AE0F02D0 */ sw $t7, 0x02D0($s0) ## 000002D0
/* 02148 80A113A8 AC580000 */ sw $t8, 0x0000($v0) ## 00000000
/* 0214C 80A113AC 8FB900BC */ lw $t9, 0x00BC($sp)
/* 02150 80A113B0 3C0580A1 */ lui $a1, %hi(D_80A1195C) ## $a1 = 80A10000
/* 02154 80A113B4 24A5195C */ addiu $a1, $a1, %lo(D_80A1195C) ## $a1 = 80A1195C
/* 02158 80A113B8 8F240000 */ lw $a0, 0x0000($t9) ## 00000000
/* 0215C 80A113BC 24060709 */ addiu $a2, $zero, 0x0709 ## $a2 = 00000709
/* 02160 80A113C0 0C0346A2 */ jal Matrix_NewMtx
/* 02164 80A113C4 AFA20074 */ sw $v0, 0x0074($sp)
/* 02168 80A113C8 8FA30074 */ lw $v1, 0x0074($sp)
/* 0216C 80A113CC 3C0B0601 */ lui $t3, 0x0601 ## $t3 = 06010000
/* 02170 80A113D0 256B2160 */ addiu $t3, $t3, 0x2160 ## $t3 = 06012160
/* 02174 80A113D4 AC620004 */ sw $v0, 0x0004($v1) ## 00000004
/* 02178 80A113D8 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 0217C 80A113DC 3C0ADE00 */ lui $t2, 0xDE00 ## $t2 = DE000000
/* 02180 80A113E0 24490008 */ addiu $t1, $v0, 0x0008 ## $t1 = 00000008
/* 02184 80A113E4 AE0902D0 */ sw $t1, 0x02D0($s0) ## 000002D0
/* 02188 80A113E8 AC4B0004 */ sw $t3, 0x0004($v0) ## 00000004
/* 0218C 80A113EC 100000D2 */ beq $zero, $zero, .L80A11738
/* 02190 80A113F0 AC4A0000 */ sw $t2, 0x0000($v0) ## 00000000
/* 02194 80A113F4 24010027 */ addiu $at, $zero, 0x0027 ## $at = 00000027
.L80A113F8:
/* 02198 80A113F8 10410006 */ beq $v0, $at, .L80A11414
/* 0219C 80A113FC 8FAC00BC */ lw $t4, 0x00BC($sp)
/* 021A0 80A11400 24010028 */ addiu $at, $zero, 0x0028 ## $at = 00000028
/* 021A4 80A11404 10410003 */ beq $v0, $at, .L80A11414
/* 021A8 80A11408 24010029 */ addiu $at, $zero, 0x0029 ## $at = 00000029
/* 021AC 80A1140C 1441008A */ bne $v0, $at, .L80A11638
/* 021B0 80A11410 3C0480A1 */ lui $a0, %hi(D_80A11984) ## $a0 = 80A10000
.L80A11414:
/* 021B4 80A11414 0C024F61 */ jal func_80093D84
/* 021B8 80A11418 8D840000 */ lw $a0, 0x0000($t4) ## 00000000
/* 021BC 80A1141C 8E0302D0 */ lw $v1, 0x02D0($s0) ## 000002D0
/* 021C0 80A11420 8FA800B8 */ lw $t0, 0x00B8($sp)
/* 021C4 80A11424 3C0EFA00 */ lui $t6, 0xFA00 ## $t6 = FA000000
/* 021C8 80A11428 246D0008 */ addiu $t5, $v1, 0x0008 ## $t5 = 00000008
/* 021CC 80A1142C AE0D02D0 */ sw $t5, 0x02D0($s0) ## 000002D0
/* 021D0 80A11430 444FF800 */ cfc1 $t7, $31
/* 021D4 80A11434 24180001 */ addiu $t8, $zero, 0x0001 ## $t8 = 00000001
/* 021D8 80A11438 AC6E0000 */ sw $t6, 0x0000($v1) ## 00000000
/* 021DC 80A1143C 44D8F800 */ ctc1 $t8, $31
/* 021E0 80A11440 C50A0188 */ lwc1 $f10, 0x0188($t0) ## 00000188
/* 021E4 80A11444 3C014F00 */ lui $at, 0x4F00 ## $at = 4F000000
/* 021E8 80A11448 3C0BFB00 */ lui $t3, 0xFB00 ## $t3 = FB000000
/* 021EC 80A1144C 46005424 */ cvt.w.s $f16, $f10
/* 021F0 80A11450 4458F800 */ cfc1 $t8, $31
/* 021F4 80A11454 00000000 */ nop
/* 021F8 80A11458 33180078 */ andi $t8, $t8, 0x0078 ## $t8 = 00000000
/* 021FC 80A1145C 53000013 */ beql $t8, $zero, .L80A114AC
/* 02200 80A11460 44188000 */ mfc1 $t8, $f16
/* 02204 80A11464 44818000 */ mtc1 $at, $f16 ## $f16 = 2147483648.00
/* 02208 80A11468 24180001 */ addiu $t8, $zero, 0x0001 ## $t8 = 00000001
/* 0220C 80A1146C 46105401 */ sub.s $f16, $f10, $f16
/* 02210 80A11470 44D8F800 */ ctc1 $t8, $31
/* 02214 80A11474 00000000 */ nop
/* 02218 80A11478 46008424 */ cvt.w.s $f16, $f16
/* 0221C 80A1147C 4458F800 */ cfc1 $t8, $31
/* 02220 80A11480 00000000 */ nop
/* 02224 80A11484 33180078 */ andi $t8, $t8, 0x0078 ## $t8 = 00000000
/* 02228 80A11488 17000005 */ bne $t8, $zero, .L80A114A0
/* 0222C 80A1148C 00000000 */ nop
/* 02230 80A11490 44188000 */ mfc1 $t8, $f16
/* 02234 80A11494 3C018000 */ lui $at, 0x8000 ## $at = 80000000
/* 02238 80A11498 10000007 */ beq $zero, $zero, .L80A114B8
/* 0223C 80A1149C 0301C025 */ or $t8, $t8, $at ## $t8 = 80000000
.L80A114A0:
/* 02240 80A114A0 10000005 */ beq $zero, $zero, .L80A114B8
/* 02244 80A114A4 2418FFFF */ addiu $t8, $zero, 0xFFFF ## $t8 = FFFFFFFF
/* 02248 80A114A8 44188000 */ mfc1 $t8, $f16
.L80A114AC:
/* 0224C 80A114AC 00000000 */ nop
/* 02250 80A114B0 0700FFFB */ bltz $t8, .L80A114A0
/* 02254 80A114B4 00000000 */ nop
.L80A114B8:
/* 02258 80A114B8 0300C825 */ or $t9, $t8, $zero ## $t9 = FFFFFFFF
/* 0225C 80A114BC 332900FF */ andi $t1, $t9, 0x00FF ## $t1 = 000000FF
/* 02260 80A114C0 AC690004 */ sw $t1, 0x0004($v1) ## 00000004
/* 02264 80A114C4 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02268 80A114C8 3C013F00 */ lui $at, 0x3F00 ## $at = 3F000000
/* 0226C 80A114CC 44CFF800 */ ctc1 $t7, $31
/* 02270 80A114D0 244A0008 */ addiu $t2, $v0, 0x0008 ## $t2 = 00000008
/* 02274 80A114D4 AE0A02D0 */ sw $t2, 0x02D0($s0) ## 000002D0
/* 02278 80A114D8 AC4B0000 */ sw $t3, 0x0000($v0) ## 00000000
/* 0227C 80A114DC C5120188 */ lwc1 $f18, 0x0188($t0) ## 00000188
/* 02280 80A114E0 44812000 */ mtc1 $at, $f4 ## $f4 = 0.50
/* 02284 80A114E4 3C015A32 */ lui $at, 0x5A32 ## $at = 5A320000
/* 02288 80A114E8 34215F00 */ ori $at, $at, 0x5F00 ## $at = 5A325F00
/* 0228C 80A114EC 46049182 */ mul.s $f6, $f18, $f4
/* 02290 80A114F0 3C0AE700 */ lui $t2, 0xE700 ## $t2 = E7000000
/* 02294 80A114F4 3C0CDA38 */ lui $t4, 0xDA38 ## $t4 = DA380000
/* 02298 80A114F8 358C0003 */ ori $t4, $t4, 0x0003 ## $t4 = DA380003
/* 0229C 80A114FC 3C0580A1 */ lui $a1, %hi(D_80A11970) ## $a1 = 80A10000
/* 022A0 80A11500 24A51970 */ addiu $a1, $a1, %lo(D_80A11970) ## $a1 = 80A11970
/* 022A4 80A11504 24060729 */ addiu $a2, $zero, 0x0729 ## $a2 = 00000729
/* 022A8 80A11508 4600320D */ trunc.w.s $f8, $f6
/* 022AC 80A1150C 440F4000 */ mfc1 $t7, $f8
/* 022B0 80A11510 00000000 */ nop
/* 022B4 80A11514 31F800FF */ andi $t8, $t7, 0x00FF ## $t8 = 00000000
/* 022B8 80A11518 0301C825 */ or $t9, $t8, $at ## $t9 = 5A325F00
/* 022BC 80A1151C AC590004 */ sw $t9, 0x0004($v0) ## 00000004
/* 022C0 80A11520 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 022C4 80A11524 24490008 */ addiu $t1, $v0, 0x0008 ## $t1 = 00000008
/* 022C8 80A11528 AE0902D0 */ sw $t1, 0x02D0($s0) ## 000002D0
/* 022CC 80A1152C AC4A0000 */ sw $t2, 0x0000($v0) ## 00000000
/* 022D0 80A11530 AC400004 */ sw $zero, 0x0004($v0) ## 00000004
/* 022D4 80A11534 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 022D8 80A11538 244B0008 */ addiu $t3, $v0, 0x0008 ## $t3 = 00000008
/* 022DC 80A1153C AE0B02D0 */ sw $t3, 0x02D0($s0) ## 000002D0
/* 022E0 80A11540 AC4C0000 */ sw $t4, 0x0000($v0) ## 00000000
/* 022E4 80A11544 8FAD00BC */ lw $t5, 0x00BC($sp)
/* 022E8 80A11548 8DA40000 */ lw $a0, 0x0000($t5) ## 00000008
/* 022EC 80A1154C 0C0346A2 */ jal Matrix_NewMtx
/* 022F0 80A11550 AFA20060 */ sw $v0, 0x0060($sp)
/* 022F4 80A11554 8FA30060 */ lw $v1, 0x0060($sp)
/* 022F8 80A11558 8FA800B8 */ lw $t0, 0x00B8($sp)
/* 022FC 80A1155C 3C0FDB06 */ lui $t7, 0xDB06 ## $t7 = DB060000
/* 02300 80A11560 AC620004 */ sw $v0, 0x0004($v1) ## 00000004
/* 02304 80A11564 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02308 80A11568 35EF0020 */ ori $t7, $t7, 0x0020 ## $t7 = DB060020
/* 0230C 80A1156C 240A0040 */ addiu $t2, $zero, 0x0040 ## $t2 = 00000040
/* 02310 80A11570 244E0008 */ addiu $t6, $v0, 0x0008 ## $t6 = 00000008
/* 02314 80A11574 AE0E02D0 */ sw $t6, 0x02D0($s0) ## 000002D0
/* 02318 80A11578 AC4F0000 */ sw $t7, 0x0000($v0) ## 00000000
/* 0231C 80A1157C 8FB800BC */ lw $t8, 0x00BC($sp)
/* 02320 80A11580 C5120178 */ lwc1 $f18, 0x0178($t0) ## 00000178
/* 02324 80A11584 C50A0174 */ lwc1 $f10, 0x0174($t0) ## 00000174
/* 02328 80A11588 8F040000 */ lw $a0, 0x0000($t8) ## 00000000
/* 0232C 80A1158C 240B0040 */ addiu $t3, $zero, 0x0040 ## $t3 = 00000040
/* 02330 80A11590 240C0001 */ addiu $t4, $zero, 0x0001 ## $t4 = 00000001
/* 02334 80A11594 AFAC0018 */ sw $t4, 0x0018($sp)
/* 02338 80A11598 AFAB0014 */ sw $t3, 0x0014($sp)
/* 0233C 80A1159C AFAA0010 */ sw $t2, 0x0010($sp)
/* 02340 80A115A0 C506017C */ lwc1 $f6, 0x017C($t0) ## 0000017C
/* 02344 80A115A4 4600540D */ trunc.w.s $f16, $f10
/* 02348 80A115A8 240C0040 */ addiu $t4, $zero, 0x0040 ## $t4 = 00000040
/* 0234C 80A115AC 240D0040 */ addiu $t5, $zero, 0x0040 ## $t5 = 00000040
/* 02350 80A115B0 4600320D */ trunc.w.s $f8, $f6
/* 02354 80A115B4 44068000 */ mfc1 $a2, $f16
/* 02358 80A115B8 00002825 */ or $a1, $zero, $zero ## $a1 = 00000000
/* 0235C 80A115BC 4600910D */ trunc.w.s $f4, $f18
/* 02360 80A115C0 440E4000 */ mfc1 $t6, $f8
/* 02364 80A115C4 00063400 */ sll $a2, $a2, 16
/* 02368 80A115C8 00063403 */ sra $a2, $a2, 16
/* 0236C 80A115CC 000E7C00 */ sll $t7, $t6, 16
/* 02370 80A115D0 000FC403 */ sra $t8, $t7, 16
/* 02374 80A115D4 AFB8001C */ sw $t8, 0x001C($sp)
/* 02378 80A115D8 C50A0180 */ lwc1 $f10, 0x0180($t0) ## 00000180
/* 0237C 80A115DC 44072000 */ mfc1 $a3, $f4
/* 02380 80A115E0 AFAD0028 */ sw $t5, 0x0028($sp)
/* 02384 80A115E4 4600540D */ trunc.w.s $f16, $f10
/* 02388 80A115E8 00073C00 */ sll $a3, $a3, 16
/* 0238C 80A115EC 00073C03 */ sra $a3, $a3, 16
/* 02390 80A115F0 AFAC0024 */ sw $t4, 0x0024($sp)
/* 02394 80A115F4 44098000 */ mfc1 $t1, $f16
/* 02398 80A115F8 AFA2005C */ sw $v0, 0x005C($sp)
/* 0239C 80A115FC 00095400 */ sll $t2, $t1, 16
/* 023A0 80A11600 000A5C03 */ sra $t3, $t2, 16
/* 023A4 80A11604 0C0253D0 */ jal Gfx_TwoTexScroll
/* 023A8 80A11608 AFAB0020 */ sw $t3, 0x0020($sp)
/* 023AC 80A1160C 8FA3005C */ lw $v1, 0x005C($sp)
/* 023B0 80A11610 3C180601 */ lui $t8, 0x0601 ## $t8 = 06010000
/* 023B4 80A11614 2718FAA0 */ addiu $t8, $t8, 0xFAA0 ## $t8 = 0600FAA0
/* 023B8 80A11618 AC620004 */ sw $v0, 0x0004($v1) ## 00000004
/* 023BC 80A1161C 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 023C0 80A11620 3C0FDE00 */ lui $t7, 0xDE00 ## $t7 = DE000000
/* 023C4 80A11624 244E0008 */ addiu $t6, $v0, 0x0008 ## $t6 = 00000008
/* 023C8 80A11628 AE0E02D0 */ sw $t6, 0x02D0($s0) ## 000002D0
/* 023CC 80A1162C AC580004 */ sw $t8, 0x0004($v0) ## 00000004
/* 023D0 80A11630 10000041 */ beq $zero, $zero, .L80A11738
/* 023D4 80A11634 AC4F0000 */ sw $t7, 0x0000($v0) ## 00000000
.L80A11638:
/* 023D8 80A11638 0C00084C */ jal osSyncPrintf
/* 023DC 80A1163C 24841984 */ addiu $a0, $a0, %lo(D_80A11984) ## $a0 = 00001984
/* 023E0 80A11640 44806000 */ mtc1 $zero, $f12 ## $f12 = 0.00
/* 023E4 80A11644 3C01C2C8 */ lui $at, 0xC2C8 ## $at = C2C80000
/* 023E8 80A11648 44817000 */ mtc1 $at, $f14 ## $f14 = -100.00
/* 023EC 80A1164C 44066000 */ mfc1 $a2, $f12
/* 023F0 80A11650 0C034261 */ jal Matrix_Translate
/* 023F4 80A11654 24070001 */ addiu $a3, $zero, 0x0001 ## $a3 = 00000001
/* 023F8 80A11658 8FB900BC */ lw $t9, 0x00BC($sp)
/* 023FC 80A1165C 0C024F61 */ jal func_80093D84
/* 02400 80A11660 8F240000 */ lw $a0, 0x0000($t9) ## 00000000
/* 02404 80A11664 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02408 80A11668 8FA800B8 */ lw $t0, 0x00B8($sp)
/* 0240C 80A1166C 3C0AFA00 */ lui $t2, 0xFA00 ## $t2 = FA000000
/* 02410 80A11670 24490008 */ addiu $t1, $v0, 0x0008 ## $t1 = 00000008
/* 02414 80A11674 AE0902D0 */ sw $t1, 0x02D0($s0) ## 000002D0
/* 02418 80A11678 AC4A0000 */ sw $t2, 0x0000($v0) ## 00000000
/* 0241C 80A1167C C5120160 */ lwc1 $f18, 0x0160($t0) ## 00000160
/* 02420 80A11680 2401FF00 */ addiu $at, $zero, 0xFF00 ## $at = FFFFFF00
/* 02424 80A11684 3C0A00FF */ lui $t2, 0x00FF ## $t2 = 00FF0000
/* 02428 80A11688 4600910D */ trunc.w.s $f4, $f18
/* 0242C 80A1168C 354A1E00 */ ori $t2, $t2, 0x1E00 ## $t2 = 00FF1E00
/* 02430 80A11690 3C09FB00 */ lui $t1, 0xFB00 ## $t1 = FB000000
/* 02434 80A11694 3C0CE700 */ lui $t4, 0xE700 ## $t4 = E7000000
/* 02438 80A11698 440E2000 */ mfc1 $t6, $f4
/* 0243C 80A1169C 3C0580A1 */ lui $a1, %hi(D_80A11990) ## $a1 = 80A10000
/* 02440 80A116A0 24A51990 */ addiu $a1, $a1, %lo(D_80A11990) ## $a1 = 80A11990
/* 02444 80A116A4 31CF00FF */ andi $t7, $t6, 0x00FF ## $t7 = 00000000
/* 02448 80A116A8 01E1C025 */ or $t8, $t7, $at ## $t8 = FFFFFF00
/* 0244C 80A116AC AC580004 */ sw $t8, 0x0004($v0) ## 00000004
/* 02450 80A116B0 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02454 80A116B4 3C0EDA38 */ lui $t6, 0xDA38 ## $t6 = DA380000
/* 02458 80A116B8 35CE0003 */ ori $t6, $t6, 0x0003 ## $t6 = DA380003
/* 0245C 80A116BC 24590008 */ addiu $t9, $v0, 0x0008 ## $t9 = 00000008
/* 02460 80A116C0 AE1902D0 */ sw $t9, 0x02D0($s0) ## 000002D0
/* 02464 80A116C4 AC490000 */ sw $t1, 0x0000($v0) ## 00000000
/* 02468 80A116C8 AC4A0004 */ sw $t2, 0x0004($v0) ## 00000004
/* 0246C 80A116CC 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02470 80A116D0 24060764 */ addiu $a2, $zero, 0x0764 ## $a2 = 00000764
/* 02474 80A116D4 244B0008 */ addiu $t3, $v0, 0x0008 ## $t3 = 00000008
/* 02478 80A116D8 AE0B02D0 */ sw $t3, 0x02D0($s0) ## 000002D0
/* 0247C 80A116DC AC4C0000 */ sw $t4, 0x0000($v0) ## 00000000
/* 02480 80A116E0 AC400004 */ sw $zero, 0x0004($v0) ## 00000004
/* 02484 80A116E4 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 02488 80A116E8 244D0008 */ addiu $t5, $v0, 0x0008 ## $t5 = 00000008
/* 0248C 80A116EC AE0D02D0 */ sw $t5, 0x02D0($s0) ## 000002D0
/* 02490 80A116F0 AC4E0000 */ sw $t6, 0x0000($v0) ## 00000000
/* 02494 80A116F4 8FAF00BC */ lw $t7, 0x00BC($sp)
/* 02498 80A116F8 8DE40000 */ lw $a0, 0x0000($t7) ## 00000000
/* 0249C 80A116FC 0C0346A2 */ jal Matrix_NewMtx
/* 024A0 80A11700 AFA20048 */ sw $v0, 0x0048($sp)
/* 024A4 80A11704 8FA30048 */ lw $v1, 0x0048($sp)
/* 024A8 80A11708 3C090601 */ lui $t1, 0x0601 ## $t1 = 06010000
/* 024AC 80A1170C 252905E0 */ addiu $t1, $t1, 0x05E0 ## $t1 = 060105E0
/* 024B0 80A11710 AC620004 */ sw $v0, 0x0004($v1) ## 00000004
/* 024B4 80A11714 8E0202D0 */ lw $v0, 0x02D0($s0) ## 000002D0
/* 024B8 80A11718 3C19DE00 */ lui $t9, 0xDE00 ## $t9 = DE000000
/* 024BC 80A1171C 3C0480A1 */ lui $a0, %hi(D_80A119A4) ## $a0 = 80A10000
/* 024C0 80A11720 24580008 */ addiu $t8, $v0, 0x0008 ## $t8 = 00000008
/* 024C4 80A11724 AE1802D0 */ sw $t8, 0x02D0($s0) ## 000002D0
/* 024C8 80A11728 248419A4 */ addiu $a0, $a0, %lo(D_80A119A4) ## $a0 = 80A119A4
/* 024CC 80A1172C AC490004 */ sw $t1, 0x0004($v0) ## 00000004
/* 024D0 80A11730 0C00084C */ jal osSyncPrintf
/* 024D4 80A11734 AC590000 */ sw $t9, 0x0000($v0) ## 00000000
.L80A11738:
/* 024D8 80A11738 8FAA00BC */ lw $t2, 0x00BC($sp)
/* 024DC 80A1173C 3C0680A1 */ lui $a2, %hi(D_80A119B0) ## $a2 = 80A10000
/* 024E0 80A11740 24C619B0 */ addiu $a2, $a2, %lo(D_80A119B0) ## $a2 = 80A119B0
/* 024E4 80A11744 27A4009C */ addiu $a0, $sp, 0x009C ## $a0 = FFFFFFE4
/* 024E8 80A11748 2407076C */ addiu $a3, $zero, 0x076C ## $a3 = 0000076C
/* 024EC 80A1174C 0C031AD5 */ jal Graph_CloseDisps
/* 024F0 80A11750 8D450000 */ lw $a1, 0x0000($t2) ## 00000000
/* 024F4 80A11754 8FBF003C */ lw $ra, 0x003C($sp)
/* 024F8 80A11758 8FB00038 */ lw $s0, 0x0038($sp)
/* 024FC 80A1175C 27BD00B8 */ addiu $sp, $sp, 0x00B8 ## $sp = 00000000
/* 02500 80A11760 03E00008 */ jr $ra
/* 02504 80A11764 00000000 */ nop
/* 02508 80A11768 00000000 */ nop
/* 0250C 80A1176C 00000000 */ nop

View File

@ -462,17 +462,17 @@ void EnFhgFire_Update(Actor* thisx, GlobalContext* globalCtx) {
this->actionFunc(this, globalCtx);
}
// Unsolved regalloc
#ifdef NON_EQUIVALENT
void EnFhgFire_Draw(Actor* thisx, GlobalContext* globalCtx) {
EnFhgFire* this = THIS;
s32 pad;
GraphicsContext* gfxCtx = globalCtx->state.gfxCtx;
Gfx* dispRefs[4];
Graph_OpenDisps(dispRefs, gfxCtx, "../z_en_fhg_fire.c", 1723);
Graph_OpenDisps(dispRefs, globalCtx->state.gfxCtx, "../z_en_fhg_fire.c", 1723);
if (thisx->params == 0x24) {
func_80093D84(globalCtx->state.gfxCtx);
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 255, 255, 255, (s32)this->unk_160 & 0xFF);
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 255, 255, 255, (s8)this->unk_160);
gDPSetEnvColor(gfxCtx->polyXlu.p++, 165, 255, 75, 0);
gDPPipeSync(gfxCtx->polyXlu.p++);
gSPMatrix(gfxCtx->polyXlu.p++, Matrix_NewMtx(globalCtx->state.gfxCtx, "../z_en_fhg_fire.c", 1745),
@ -482,42 +482,35 @@ void EnFhgFire_Draw(Actor* thisx, GlobalContext* globalCtx) {
osSyncPrintf("yari hikari draw 1\n");
func_800D1FD4(&globalCtx->mf_11DA0);
func_80093D84(globalCtx->state.gfxCtx);
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 0xFF, 0xFF, 0xFF, (s32)this->unk_160 & 0xFF);
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 255, 255, 255, (s8)this->unk_160);
if (this->fireMode > 0) {
gDPSetEnvColor(gfxCtx->polyXlu.p++, 0, 255, 255, 0);
} else {
gDPSetEnvColor(gfxCtx->polyXlu.p++, 165, 255, 75, 0);
}
gDPPipeSync(gfxCtx->polyXlu.p++);
Matrix_RotateZ(((f32)thisx->shape.rot.z / 32768.0f) * M_PI, 1);
Matrix_RotateZ((thisx->shape.rot.z / 32768.0f) * 3.1416f, 1);
gSPMatrix(gfxCtx->polyXlu.p++, Matrix_NewMtx(globalCtx->state.gfxCtx, "../z_en_fhg_fire.c", 1801),
G_MTX_NOPUSH | G_MTX_LOAD | G_MTX_MODELVIEW);
gSPDisplayList(gfxCtx->polyXlu.p++, D_06012160);
} else if ((thisx->params == 0x27) || (thisx->params == 0x28) || (thisx->params == 0x29)) {
func_80093D84(globalCtx->state.gfxCtx);
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 0, 0, 0, ((u32)this->unk_188 & 0xFF));
gDPSetEnvColor(gfxCtx->polyXlu.p++, 90, 50, 95, (s32)(this->unk_188 * 0.5f));
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 0, 0, 0, (u8)this->unk_188);
gDPSetEnvColor(gfxCtx->polyXlu.p++, 90, 50, 95, (s8)(this->unk_188 * 0.5f));
gDPPipeSync(gfxCtx->polyXlu.p++);
gSPMatrix(gfxCtx->polyXlu.p++, Matrix_NewMtx(globalCtx->state.gfxCtx, "../z_en_fhg_fire.c", 1833),
G_MTX_NOPUSH | G_MTX_LOAD | G_MTX_MODELVIEW);
gSPSegment(gfxCtx->polyXlu.p++, 0x08,
Gfx_TwoTexScroll(globalCtx->state.gfxCtx, 0, (s16)this->unk_174, (s16)this->unk_178, 0x40, 0x40, 1,
(s16)this->unk_17C, (s16)this->unk_180, 0x40, 0x40));
Gfx_TwoTexScroll(globalCtx->state.gfxCtx, 0, (s16)this->unk_174, (s16)this->unk_178, 0x40, 0x40,
1, (s16)this->unk_17C, (s16)this->unk_180, 0x40, 0x40));
gSPDisplayList(gfxCtx->polyXlu.p++, D_0600FAA0);
} else {
osSyncPrintf("FF DRAW 1\n");
Matrix_Translate(0.0f, -100.0f, 0.0f, 1);
func_80093D84(globalCtx->state.gfxCtx);
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 255, 255, 255, (s32)this->unk_160 & 0xFF);
gDPSetEnvColor(gfxCtx->polyXlu.p++, 255, 30, 0, 255);
gDPSetPrimColor(gfxCtx->polyXlu.p++, 0, 0, 255, 255, 255, (s8)this->unk_160);
gDPSetEnvColor(gfxCtx->polyXlu.p++, 0, 255, 30, 0);
gDPPipeSync(gfxCtx->polyXlu.p++);
gSPMatrix(gfxCtx->polyXlu.p++, Matrix_NewMtx(globalCtx->state.gfxCtx, "../z_en_fhg_fire.c", 1892),
G_MTX_NOPUSH | G_MTX_LOAD | G_MTX_MODELVIEW);
@ -527,6 +520,3 @@ void EnFhgFire_Draw(Actor* thisx, GlobalContext* globalCtx) {
Graph_CloseDisps(dispRefs, globalCtx->state.gfxCtx, "../z_en_fhg_fire.c", 1900);
}
#else
#pragma GLOBAL_ASM("asm/non_matchings/overlays/actors/ovl_En_Fhg_Fire/EnFhgFire_Draw.s")
#endif