1
0
mirror of https://github.com/zeldaret/oot.git synced 2024-09-21 12:54:51 +00:00

Match the last function in z_kankyo.c (#984)

This commit is contained in:
Roman971 2021-09-21 22:19:04 +02:00 committed by GitHub
parent 3b7ed8d943
commit f8015f4cd5
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
2 changed files with 27 additions and 921 deletions

View File

@ -1,881 +0,0 @@
.rdata
glabel D_8013C59C
.asciz "../z_kankyo.c"
.balign 4
glabel D_8013C5AC
.asciz "../z_kankyo.c"
.balign 4
glabel D_8013C5BC
.asciz "../z_kankyo.c"
.balign 4
.late_rodata
glabel D_8013C7B8
.float 630
.word 0 # forced padding
glabel D_8013C7C0
.double 0.001
glabel D_8013C7C8
.float 1.0E-4
glabel D_8013C7CC
.float 0.001
glabel D_8013C7D0
.float 0.001
glabel D_8013C7D4
.float 0.1
glabel D_8013C7D8
.float 0.1
.text
glabel Environment_DrawLensFlare
/* AEABFC 80073A5C 27BDFE50 */ addiu $sp, $sp, -0x1b0
/* AEAC00 80073A60 3C0B8012 */ lui $t3, %hi(D_8011FE00) # $t3, 0x8012
/* AEAC04 80073A64 AFBF004C */ sw $ra, 0x4c($sp)
/* AEAC08 80073A68 AFB20048 */ sw $s2, 0x48($sp)
/* AEAC0C 80073A6C AFB10044 */ sw $s1, 0x44($sp)
/* AEAC10 80073A70 AFB00040 */ sw $s0, 0x40($sp)
/* AEAC14 80073A74 F7BA0038 */ sdc1 $f26, 0x38($sp)
/* AEAC18 80073A78 F7B80030 */ sdc1 $f24, 0x30($sp)
/* AEAC1C 80073A7C F7B60028 */ sdc1 $f22, 0x28($sp)
/* AEAC20 80073A80 F7B40020 */ sdc1 $f20, 0x20($sp)
/* AEAC24 80073A84 AFA401B0 */ sw $a0, 0x1b0($sp)
/* AEAC28 80073A88 AFA501B4 */ sw $a1, 0x1b4($sp)
/* AEAC2C 80073A8C 256BFE00 */ addiu $t3, %lo(D_8011FE00) # addiu $t3, $t3, -0x200
/* AEAC30 80073A90 8D6D0000 */ lw $t5, ($t3)
/* AEAC34 80073A94 27AA0118 */ addiu $t2, $sp, 0x118
/* AEAC38 80073A98 8D690004 */ lw $t1, 4($t3)
/* AEAC3C 80073A9C AD4D0000 */ sw $t5, ($t2)
/* AEAC40 80073AA0 8D6D0008 */ lw $t5, 8($t3)
/* AEAC44 80073AA4 AD490004 */ sw $t1, 4($t2)
/* AEAC48 80073AA8 8D69000C */ lw $t1, 0xc($t3)
/* AEAC4C 80073AAC AD4D0008 */ sw $t5, 8($t2)
/* AEAC50 80073AB0 8D6D0010 */ lw $t5, 0x10($t3)
/* AEAC54 80073AB4 AD49000C */ sw $t1, 0xc($t2)
/* AEAC58 80073AB8 8D690014 */ lw $t1, 0x14($t3)
/* AEAC5C 80073ABC AD4D0010 */ sw $t5, 0x10($t2)
/* AEAC60 80073AC0 8D6D0018 */ lw $t5, 0x18($t3)
/* AEAC64 80073AC4 3C198012 */ lui $t9, %hi(D_8011FE20) # $t9, 0x8012
/* AEAC68 80073AC8 2739FE20 */ addiu $t9, %lo(D_8011FE20) # addiu $t9, $t9, -0x1e0
/* AEAC6C 80073ACC AD4D0018 */ sw $t5, 0x18($t2)
/* AEAC70 80073AD0 956D001C */ lhu $t5, 0x1c($t3)
/* AEAC74 80073AD4 00E08025 */ move $s0, $a3
/* AEAC78 80073AD8 00C08825 */ move $s1, $a2
/* AEAC7C 80073ADC 00009025 */ move $s2, $zero
/* AEAC80 80073AE0 272C0024 */ addiu $t4, $t9, 0x24
/* AEAC84 80073AE4 27A800F0 */ addiu $t0, $sp, 0xf0
/* AEAC88 80073AE8 AD490014 */ sw $t1, 0x14($t2)
/* AEAC8C 80073AEC A54D001C */ sh $t5, 0x1c($t2)
.L80073AF0:
/* AEAC90 80073AF0 8F2E0000 */ lw $t6, ($t9)
/* AEAC94 80073AF4 2739000C */ addiu $t9, $t9, 0xc
/* AEAC98 80073AF8 2508000C */ addiu $t0, $t0, 0xc
/* AEAC9C 80073AFC AD0EFFF4 */ sw $t6, -0xc($t0)
/* AEACA0 80073B00 8F2FFFF8 */ lw $t7, -8($t9)
/* AEACA4 80073B04 AD0FFFF8 */ sw $t7, -8($t0)
/* AEACA8 80073B08 8F2EFFFC */ lw $t6, -4($t9)
/* AEACAC 80073B0C 172CFFF8 */ bne $t9, $t4, .L80073AF0
/* AEACB0 80073B10 AD0EFFFC */ sw $t6, -4($t0)
/* AEACB4 80073B14 8F2E0000 */ lw $t6, ($t9)
/* AEACB8 80073B18 3C0A8012 */ lui $t2, %hi(D_8011FE48) # $t2, 0x8012
/* AEACBC 80073B1C 254AFE48 */ addiu $t2, %lo(D_8011FE48) # addiu $t2, $t2, -0x1b8
/* AEACC0 80073B20 254D0024 */ addiu $t5, $t2, 0x24
/* AEACC4 80073B24 27B800C8 */ addiu $t8, $sp, 0xc8
/* AEACC8 80073B28 AD0E0000 */ sw $t6, ($t0)
.L80073B2C:
/* AEACCC 80073B2C 8D490000 */ lw $t1, ($t2)
/* AEACD0 80073B30 254A000C */ addiu $t2, $t2, 0xc
/* AEACD4 80073B34 2718000C */ addiu $t8, $t8, 0xc
/* AEACD8 80073B38 AF09FFF4 */ sw $t1, -0xc($t8)
/* AEACDC 80073B3C 8D4BFFF8 */ lw $t3, -8($t2)
/* AEACE0 80073B40 AF0BFFF8 */ sw $t3, -8($t8)
/* AEACE4 80073B44 8D49FFFC */ lw $t1, -4($t2)
/* AEACE8 80073B48 154DFFF8 */ bne $t2, $t5, .L80073B2C
/* AEACEC 80073B4C AF09FFFC */ sw $t1, -4($t8)
/* AEACF0 80073B50 8D490000 */ lw $t1, ($t2)
/* AEACF4 80073B54 3C068014 */ lui $a2, %hi(D_8013C59C)
/* AEACF8 80073B58 24C6C59C */ addiu $a2, %lo(D_8013C59C) # addiu $a2, $a2, -0x3a64
/* AEACFC 80073B5C 27A400B4 */ addiu $a0, $sp, 0xb4
/* AEAD00 80073B60 02002825 */ move $a1, $s0
/* AEAD04 80073B64 240709D4 */ li $a3, 2516
/* AEAD08 80073B68 0C031AB1 */ jal Graph_OpenDisps
/* AEAD0C 80073B6C AF090000 */ sw $t1, ($t8)
/* AEAD10 80073B70 27A401C0 */ addiu $a0, $sp, 0x1c0
/* AEAD14 80073B74 0C032D9E */ jal Math3D_Vec3f_DistXYZ
/* AEAD18 80073B78 26250028 */ addiu $a1, $s1, 0x28
/* AEAD1C 80073B7C C6300028 */ lwc1 $f16, 0x28($s1)
/* AEAD20 80073B80 C6260034 */ lwc1 $f6, 0x34($s1)
/* AEAD24 80073B84 3C014140 */ li $at, 0x41400000 # 0.000000
/* AEAD28 80073B88 44812000 */ mtc1 $at, $f4
/* AEAD2C 80073B8C 46103081 */ sub.s $f2, $f6, $f16
/* AEAD30 80073B90 C632002C */ lwc1 $f18, 0x2c($s1)
/* AEAD34 80073B94 C6280038 */ lwc1 $f8, 0x38($s1)
/* AEAD38 80073B98 46040583 */ div.s $f22, $f0, $f4
/* AEAD3C 80073B9C C63A0030 */ lwc1 $f26, 0x30($s1)
/* AEAD40 80073BA0 C62A003C */ lwc1 $f10, 0x3c($s1)
/* AEAD44 80073BA4 3C0140C0 */ li $at, 0x40C00000 # 0.000000
/* AEAD48 80073BA8 46124301 */ sub.s $f12, $f8, $f18
/* AEAD4C 80073BAC 46021102 */ mul.s $f4, $f2, $f2
/* AEAD50 80073BB0 461A5381 */ sub.s $f14, $f10, $f26
/* AEAD54 80073BB4 460C6182 */ mul.s $f6, $f12, $f12
/* AEAD58 80073BB8 46062200 */ add.s $f8, $f4, $f6
/* AEAD5C 80073BBC 460E7282 */ mul.s $f10, $f14, $f14
/* AEAD60 80073BC0 460A4000 */ add.s $f0, $f8, $f10
/* AEAD64 80073BC4 44814000 */ mtc1 $at, $f8
/* AEAD68 80073BC8 3C013F80 */ li $at, 0x3F800000 # 0.000000
/* AEAD6C 80073BCC 46000004 */ sqrt.s $f0, $f0
/* AEAD70 80073BD0 4608B282 */ mul.s $f10, $f22, $f8
/* AEAD74 80073BD4 46006103 */ div.s $f4, $f12, $f0
/* AEAD78 80073BD8 E7AA0078 */ swc1 $f10, 0x78($sp)
/* AEAD7C 80073BDC 46001503 */ div.s $f20, $f2, $f0
/* AEAD80 80073BE0 E7A40068 */ swc1 $f4, 0x68($sp)
/* AEAD84 80073BE4 C7A40078 */ lwc1 $f4, 0x78($sp)
/* AEAD88 80073BE8 C7A60068 */ lwc1 $f6, 0x68($sp)
/* AEAD8C 80073BEC E7A60198 */ swc1 $f6, 0x198($sp)
/* AEAD90 80073BF0 46007603 */ div.s $f24, $f14, $f0
/* AEAD94 80073BF4 E7B4019C */ swc1 $f20, 0x19c($sp)
/* AEAD98 80073BF8 4604A202 */ mul.s $f8, $f20, $f4
/* AEAD9C 80073BFC 46088280 */ add.s $f10, $f16, $f8
/* AEADA0 80073C00 46043202 */ mul.s $f8, $f6, $f4
/* AEADA4 80073C04 E7AA0170 */ swc1 $f10, 0x170($sp)
/* AEADA8 80073C08 46089280 */ add.s $f10, $f18, $f8
/* AEADAC 80073C0C 4604C202 */ mul.s $f8, $f24, $f4
/* AEADB0 80073C10 C7A401C0 */ lwc1 $f4, 0x1c0($sp)
/* AEADB4 80073C14 E7AA016C */ swc1 $f10, 0x16c($sp)
/* AEADB8 80073C18 4608D280 */ add.s $f10, $f26, $f8
/* AEADBC 80073C1C C7A80170 */ lwc1 $f8, 0x170($sp)
/* AEADC0 80073C20 4480D000 */ mtc1 $zero, $f26
/* AEADC4 80073C24 46082081 */ sub.s $f2, $f4, $f8
/* AEADC8 80073C28 E7AA0168 */ swc1 $f10, 0x168($sp)
/* AEADCC 80073C2C C7AA01C4 */ lwc1 $f10, 0x1c4($sp)
/* AEADD0 80073C30 C7A4016C */ lwc1 $f4, 0x16c($sp)
/* AEADD4 80073C34 C7A801C8 */ lwc1 $f8, 0x1c8($sp)
/* AEADD8 80073C38 46045301 */ sub.s $f12, $f10, $f4
/* AEADDC 80073C3C C7AA0168 */ lwc1 $f10, 0x168($sp)
/* AEADE0 80073C40 46021102 */ mul.s $f4, $f2, $f2
/* AEADE4 80073C44 460A4381 */ sub.s $f14, $f8, $f10
/* AEADE8 80073C48 460C6202 */ mul.s $f8, $f12, $f12
/* AEADEC 80073C4C 46082280 */ add.s $f10, $f4, $f8
/* AEADF0 80073C50 460E7102 */ mul.s $f4, $f14, $f14
/* AEADF4 80073C54 C7A8019C */ lwc1 $f8, 0x19c($sp)
/* AEADF8 80073C58 E7A80050 */ swc1 $f8, 0x50($sp)
/* AEADFC 80073C5C 46045000 */ add.s $f0, $f10, $f4
/* AEAE00 80073C60 46084282 */ mul.s $f10, $f8, $f8
/* AEAE04 80073C64 00000000 */ nop
/* AEAE08 80073C68 46063102 */ mul.s $f4, $f6, $f6
/* AEAE0C 80073C6C 46000004 */ sqrt.s $f0, $f0
/* AEAE10 80073C70 46045280 */ add.s $f10, $f10, $f4
/* AEAE14 80073C74 4618C102 */ mul.s $f4, $f24, $f24
/* AEAE18 80073C78 46001403 */ div.s $f16, $f2, $f0
/* AEAE1C 80073C7C 46045280 */ add.s $f10, $f10, $f4
/* AEAE20 80073C80 46006483 */ div.s $f18, $f12, $f0
/* AEAE24 80073C84 E7B00184 */ swc1 $f16, 0x184($sp)
/* AEAE28 80073C88 46108102 */ mul.s $f4, $f16, $f16
/* AEAE2C 80073C8C 46007503 */ div.s $f20, $f14, $f0
/* AEAE30 80073C90 E7B20180 */ swc1 $f18, 0x180($sp)
/* AEAE34 80073C94 46129202 */ mul.s $f8, $f18, $f18
/* AEAE38 80073C98 46082100 */ add.s $f4, $f4, $f8
/* AEAE3C 80073C9C 4614A202 */ mul.s $f8, $f20, $f20
/* AEAE40 80073CA0 E7B4017C */ swc1 $f20, 0x17c($sp)
/* AEAE44 80073CA4 46082100 */ add.s $f4, $f4, $f8
/* AEAE48 80073CA8 C7A80050 */ lwc1 $f8, 0x50($sp)
/* AEAE4C 80073CAC 46045002 */ mul.s $f0, $f10, $f4
/* AEAE50 80073CB0 C7AA0184 */ lwc1 $f10, 0x184($sp)
/* AEAE54 80073CB4 460A4102 */ mul.s $f4, $f8, $f10
/* AEAE58 80073CB8 C7A80180 */ lwc1 $f8, 0x180($sp)
/* AEAE5C 80073CBC 46083282 */ mul.s $f10, $f6, $f8
/* AEAE60 80073CC0 C7A8017C */ lwc1 $f8, 0x17c($sp)
/* AEAE64 80073CC4 46000004 */ sqrt.s $f0, $f0
/* AEAE68 80073CC8 460A2180 */ add.s $f6, $f4, $f10
/* AEAE6C 80073CCC 4608C102 */ mul.s $f4, $f24, $f8
/* AEAE70 80073CD0 4481C000 */ mtc1 $at, $f24
/* AEAE74 80073CD4 3C014060 */ li $at, 0x40600000 # 0.000000
/* AEAE78 80073CD8 46043280 */ add.s $f10, $f6, $f4
/* AEAE7C 80073CDC 44812000 */ mtc1 $at, $f4
/* AEAE80 80073CE0 46005203 */ div.s $f8, $f10, $f0
/* AEAE84 80073CE4 E7A80074 */ swc1 $f8, 0x74($sp)
/* AEAE88 80073CE8 C7A20074 */ lwc1 $f2, 0x74($sp)
/* AEAE8C 80073CEC C7A60074 */ lwc1 $f6, 0x74($sp)
/* AEAE90 80073CF0 46041002 */ mul.s $f0, $f2, $f4
/* AEAE94 80073CF4 E7A60164 */ swc1 $f6, 0x164($sp)
/* AEAE98 80073CF8 4600C03C */ c.lt.s $f24, $f0
/* AEAE9C 80073CFC E7A0015C */ swc1 $f0, 0x15c($sp)
/* AEAEA0 80073D00 45000002 */ bc1f .L80073D0C
/* AEAEA4 80073D04 00000000 */ nop
/* AEAEA8 80073D08 E7B8015C */ swc1 $f24, 0x15c($sp)
.L80073D0C:
/* AEAEAC 80073D0C 93AC01DF */ lbu $t4, 0x1df($sp)
/* AEAEB0 80073D10 55800003 */ bnezl $t4, .L80073D20
/* AEAEB4 80073D14 461A103C */ c.lt.s $f2, $f26
/* AEAEB8 80073D18 E7A2015C */ swc1 $f2, 0x15c($sp)
/* AEAEBC 80073D1C 461A103C */ c.lt.s $f2, $f26
.L80073D20:
/* AEAEC0 80073D20 93B901DF */ lbu $t9, 0x1df($sp)
/* AEAEC4 80073D24 4501025D */ bc1t .L8007469C
/* AEAEC8 80073D28 00000000 */ nop
/* AEAECC 80073D2C 13200033 */ beqz $t9, .L80073DFC
/* AEAED0 80073D30 8FA401B0 */ lw $a0, 0x1b0($sp)
/* AEAED4 80073D34 27A501C0 */ addiu $a1, $sp, 0x1c0
/* AEAED8 80073D38 0C03005B */ jal func_800C016C
/* AEAEDC 80073D3C 27A60144 */ addiu $a2, $sp, 0x144
/* AEAEE0 80073D40 C7A20148 */ lwc1 $f2, 0x148($sp)
/* AEAEE4 80073D44 C7A00144 */ lwc1 $f0, 0x144($sp)
/* AEAEE8 80073D48 3C018016 */ lui $at, %hi(D_8015FD7E) # $at, 0x8016
/* AEAEEC 80073D4C 4600120D */ trunc.w.s $f8, $f2
/* AEAEF0 80073D50 3C0C8012 */ lui $t4, %hi(D_8011FB44)
/* AEAEF4 80073D54 958CFB44 */ lhu $t4, %lo(D_8011FB44)($t4)
/* AEAEF8 80073D58 4600028D */ trunc.w.s $f10, $f0
/* AEAEFC 80073D5C 440D4000 */ mfc1 $t5, $f8
/* AEAF00 80073D60 00000000 */ nop
/* AEAF04 80073D64 000D5400 */ sll $t2, $t5, 0x10
/* AEAF08 80073D68 000AC403 */ sra $t8, $t2, 0x10
/* AEAF0C 80073D6C 44983000 */ mtc1 $t8, $f6
/* AEAF10 80073D70 440F5000 */ mfc1 $t7, $f10
/* AEAF14 80073D74 46803120 */ cvt.s.w $f4, $f6
/* AEAF18 80073D78 A42FFD7E */ sh $t7, %lo(D_8015FD7E)($at)
/* AEAF1C 80073D7C 3C0140A0 */ li $at, 0x40A00000 # 0.000000
/* AEAF20 80073D80 44815000 */ mtc1 $at, $f10
/* AEAF24 80073D84 3C018016 */ lui $at, %hi(D_8015FD80) # $at, 0x8016
/* AEAF28 80073D88 460A2201 */ sub.s $f8, $f4, $f10
/* AEAF2C 80073D8C 4600418D */ trunc.w.s $f6, $f8
/* AEAF30 80073D90 44093000 */ mfc1 $t1, $f6
/* AEAF34 80073D94 00000000 */ nop
/* AEAF38 80073D98 A429FD80 */ sh $t1, %lo(D_8015FD80)($at)
/* AEAF3C 80073D9C 3401FFFC */ li $at, 65532
/* AEAF40 80073DA0 55810016 */ bnel $t4, $at, .L80073DFC
/* AEAF44 80073DA4 24120001 */ li $s2, 1
/* AEAF48 80073DA8 461A003C */ c.lt.s $f0, $f26
/* AEAF4C 80073DAC 00000000 */ nop
/* AEAF50 80073DB0 45030012 */ bc1tl .L80073DFC
/* AEAF54 80073DB4 24120001 */ li $s2, 1
/* AEAF58 80073DB8 461A103C */ c.lt.s $f2, $f26
/* AEAF5C 80073DBC 3C0143A0 */ li $at, 0x43A00000 # 0.000000
/* AEAF60 80073DC0 4503000E */ bc1tl .L80073DFC
/* AEAF64 80073DC4 24120001 */ li $s2, 1
/* AEAF68 80073DC8 44812000 */ mtc1 $at, $f4
/* AEAF6C 80073DCC 3C014370 */ li $at, 0x43700000 # 0.000000
/* AEAF70 80073DD0 4600203C */ c.lt.s $f4, $f0
/* AEAF74 80073DD4 00000000 */ nop
/* AEAF78 80073DD8 45030008 */ bc1tl .L80073DFC
/* AEAF7C 80073DDC 24120001 */ li $s2, 1
/* AEAF80 80073DE0 44815000 */ mtc1 $at, $f10
/* AEAF84 80073DE4 00000000 */ nop
/* AEAF88 80073DE8 4602503C */ c.lt.s $f10, $f2
/* AEAF8C 80073DEC 00000000 */ nop
/* AEAF90 80073DF0 45020003 */ bc1fl .L80073E00
/* AEAF94 80073DF4 C7A80184 */ lwc1 $f8, 0x184($sp)
/* AEAF98 80073DF8 24120001 */ li $s2, 1
.L80073DFC:
/* AEAF9C 80073DFC C7A80184 */ lwc1 $f8, 0x184($sp)
.L80073E00:
/* AEAFA0 80073E00 C7A40180 */ lwc1 $f4, 0x180($sp)
/* AEAFA4 80073E04 87B901D2 */ lh $t9, 0x1d2($sp)
/* AEAFA8 80073E08 46004187 */ neg.s $f6, $f8
/* AEAFAC 80073E0C C7A8017C */ lwc1 $f8, 0x17c($sp)
/* AEAFB0 80073E10 E7A6007C */ swc1 $f6, 0x7c($sp)
/* AEAFB4 80073E14 46002287 */ neg.s $f10, $f4
/* AEAFB8 80073E18 46004187 */ neg.s $f6, $f8
/* AEAFBC 80073E1C 44992000 */ mtc1 $t9, $f4
/* AEAFC0 80073E20 E7A60074 */ swc1 $f6, 0x74($sp)
/* AEAFC4 80073E24 3C014120 */ li $at, 0x41200000 # 0.000000
/* AEAFC8 80073E28 44813000 */ mtc1 $at, $f6
/* AEAFCC 80073E2C C7A801D4 */ lwc1 $f8, 0x1d4($sp)
/* AEAFD0 80073E30 E7AA0078 */ swc1 $f10, 0x78($sp)
/* AEAFD4 80073E34 468022A0 */ cvt.s.w $f10, $f4
/* AEAFD8 80073E38 8FA801B4 */ lw $t0, 0x1b4($sp)
/* AEAFDC 80073E3C 3C0E0100 */ li $t6, 0x01000000 # 0.000000
/* AEAFE0 80073E40 25CE0000 */ addiu $t6, $t6, 0
/* AEAFE4 80073E44 250F0088 */ addiu $t7, $t0, 0x88
/* AEAFE8 80073E48 46064103 */ div.s $f4, $f8, $f6
/* AEAFEC 80073E4C AFAF0060 */ sw $t7, 0x60($sp)
/* AEAFF0 80073E50 AFAE005C */ sw $t6, 0x5c($sp)
/* AEAFF4 80073E54 E7AA006C */ swc1 $f10, 0x6c($sp)
/* AEAFF8 80073E58 00008825 */ move $s1, $zero
/* AEAFFC 80073E5C AFB20064 */ sw $s2, 0x64($sp)
/* AEB000 80073E60 E7A40068 */ swc1 $f4, 0x68($sp)
/* AEB004 80073E64 C7AC01C0 */ lwc1 $f12, 0x1c0($sp)
.L80073E68:
/* AEB008 80073E68 C7AE01C4 */ lwc1 $f14, 0x1c4($sp)
/* AEB00C 80073E6C 8FA601C8 */ lw $a2, 0x1c8($sp)
/* AEB010 80073E70 0C034261 */ jal Matrix_Translate
/* AEB014 80073E74 00003825 */ move $a3, $zero
/* AEB018 80073E78 93AD01DF */ lbu $t5, 0x1df($sp)
/* AEB01C 80073E7C 8FAA01B0 */ lw $t2, 0x1b0($sp)
/* AEB020 80073E80 24060001 */ li $a2, 1
/* AEB024 80073E84 51A00027 */ beql $t5, $zero, .L80073F24
/* AEB028 80073E88 44913000 */ mtc1 $s1, $f6
/* AEB02C 80073E8C 4458F800 */ cfc1 $t8, $31
/* AEB030 80073E90 44C6F800 */ ctc1 $a2, $31
/* AEB034 80073E94 C54A00D0 */ lwc1 $f10, 0xd0($t2)
/* AEB038 80073E98 2404003C */ li $a0, 60
/* AEB03C 80073E9C 2405000F */ li $a1, 15
/* AEB040 80073EA0 46005224 */ cvt.w.s $f8, $f10
/* AEB044 80073EA4 3C014F00 */ li $at, 0x4F000000 # 0.000000
/* AEB048 80073EA8 4446F800 */ cfc1 $a2, $31
/* AEB04C 80073EAC 00000000 */ nop
/* AEB050 80073EB0 30C60078 */ andi $a2, $a2, 0x78
/* AEB054 80073EB4 50C00013 */ beql $a2, $zero, .L80073F04
/* AEB058 80073EB8 44064000 */ mfc1 $a2, $f8
/* AEB05C 80073EBC 44814000 */ mtc1 $at, $f8
/* AEB060 80073EC0 24060001 */ li $a2, 1
/* AEB064 80073EC4 46085201 */ sub.s $f8, $f10, $f8
/* AEB068 80073EC8 44C6F800 */ ctc1 $a2, $31
/* AEB06C 80073ECC 00000000 */ nop
/* AEB070 80073ED0 46004224 */ cvt.w.s $f8, $f8
/* AEB074 80073ED4 4446F800 */ cfc1 $a2, $31
/* AEB078 80073ED8 00000000 */ nop
/* AEB07C 80073EDC 30C60078 */ andi $a2, $a2, 0x78
/* AEB080 80073EE0 14C00005 */ bnez $a2, .L80073EF8
/* AEB084 80073EE4 00000000 */ nop
/* AEB088 80073EE8 44064000 */ mfc1 $a2, $f8
/* AEB08C 80073EEC 3C018000 */ li $at, 0x80000000 # 0.000000
/* AEB090 80073EF0 10000007 */ b .L80073F10
/* AEB094 80073EF4 00C13025 */ or $a2, $a2, $at
.L80073EF8:
/* AEB098 80073EF8 10000005 */ b .L80073F10
/* AEB09C 80073EFC 2406FFFF */ li $a2, -1
/* AEB0A0 80073F00 44064000 */ mfc1 $a2, $f8
.L80073F04:
/* AEB0A4 80073F04 00000000 */ nop
/* AEB0A8 80073F08 04C0FFFB */ bltz $a2, .L80073EF8
/* AEB0AC 80073F0C 00000000 */ nop
.L80073F10:
/* AEB0B0 80073F10 44D8F800 */ ctc1 $t8, $31
/* AEB0B4 80073F14 0C01BE4F */ jal Environment_LerpWeight
/* AEB0B8 80073F18 30C6FFFF */ andi $a2, $a2, 0xffff
/* AEB0BC 80073F1C E7A0013C */ swc1 $f0, 0x13c($sp)
/* AEB0C0 80073F20 44913000 */ mtc1 $s1, $f6
.L80073F24:
/* AEB0C4 80073F24 C7A4007C */ lwc1 $f4, 0x7c($sp)
/* AEB0C8 80073F28 C7A80078 */ lwc1 $f8, 0x78($sp)
/* AEB0CC 80073F2C 46803020 */ cvt.s.w $f0, $f6
/* AEB0D0 80073F30 24070001 */ li $a3, 1
/* AEB0D4 80073F34 46002282 */ mul.s $f10, $f4, $f0
/* AEB0D8 80073F38 C7A40074 */ lwc1 $f4, 0x74($sp)
/* AEB0DC 80073F3C 46165302 */ mul.s $f12, $f10, $f22
/* AEB0E0 80073F40 00000000 */ nop
/* AEB0E4 80073F44 46004182 */ mul.s $f6, $f8, $f0
/* AEB0E8 80073F48 00000000 */ nop
/* AEB0EC 80073F4C 46163382 */ mul.s $f14, $f6, $f22
/* AEB0F0 80073F50 00000000 */ nop
/* AEB0F4 80073F54 46002282 */ mul.s $f10, $f4, $f0
/* AEB0F8 80073F58 00000000 */ nop
/* AEB0FC 80073F5C 46165202 */ mul.s $f8, $f10, $f22
/* AEB100 80073F60 44064000 */ mfc1 $a2, $f8
/* AEB104 80073F64 0C034261 */ jal Matrix_Translate
/* AEB108 80073F68 00000000 */ nop
/* AEB10C 80073F6C 00119080 */ sll $s2, $s1, 2
/* AEB110 80073F70 3C018012 */ lui $at, %hi(D_8011FDD8)
/* AEB114 80073F74 00320821 */ addu $at, $at, $s2
/* AEB118 80073F78 C426FDD8 */ lwc1 $f6, %lo(D_8011FDD8)($at)
/* AEB11C 80073F7C C7A40164 */ lwc1 $f4, 0x164($sp)
/* AEB120 80073F80 93AB01DF */ lbu $t3, 0x1df($sp)
/* AEB124 80073F84 3C018014 */ lui $at, %hi(D_8013C7C8)
/* AEB128 80073F88 46043302 */ mul.s $f12, $f6, $f4
/* AEB12C 80073F8C 11600010 */ beqz $t3, .L80073FD0
/* AEB130 80073F90 24070001 */ li $a3, 1
/* AEB134 80073F94 3C018014 */ lui $at, %hi(D_8013C7B8)
/* AEB138 80073F98 C42AC7B8 */ lwc1 $f10, %lo(D_8013C7B8)($at)
/* AEB13C 80073F9C C7A8013C */ lwc1 $f8, 0x13c($sp)
/* AEB140 80073FA0 C7A4006C */ lwc1 $f4, 0x6c($sp)
/* AEB144 80073FA4 3C018014 */ lui $at, %hi(D_8013C7C0)
/* AEB148 80073FA8 46085182 */ mul.s $f6, $f10, $f8
/* AEB14C 80073FAC 46062280 */ add.s $f10, $f4, $f6
/* AEB150 80073FB0 D424C7C0 */ ldc1 $f4, %lo(D_8013C7C0)($at)
/* AEB154 80073FB4 46005221 */ cvt.d.s $f8, $f10
/* AEB158 80073FB8 460062A1 */ cvt.d.s $f10, $f12
/* AEB15C 80073FBC 46282182 */ mul.d $f6, $f4, $f8
/* AEB160 80073FC0 00000000 */ nop
/* AEB164 80073FC4 46265102 */ mul.d $f4, $f10, $f6
/* AEB168 80073FC8 1000000A */ b .L80073FF4
/* AEB16C 80073FCC 46202320 */ cvt.s.d $f12, $f4
.L80073FD0:
/* AEB170 80073FD0 C428C7C8 */ lwc1 $f8, %lo(D_8013C7C8)($at)
/* AEB174 80073FD4 C7AA006C */ lwc1 $f10, 0x6c($sp)
/* AEB178 80073FD8 4616B100 */ add.s $f4, $f22, $f22
/* AEB17C 80073FDC 460A4182 */ mul.s $f6, $f8, $f10
/* AEB180 80073FE0 00000000 */ nop
/* AEB184 80073FE4 46043202 */ mul.s $f8, $f6, $f4
/* AEB188 80073FE8 00000000 */ nop
/* AEB18C 80073FEC 46086302 */ mul.s $f12, $f12, $f8
/* AEB190 80073FF0 00000000 */ nop
.L80073FF4:
/* AEB194 80073FF4 44066000 */ mfc1 $a2, $f12
/* AEB198 80073FF8 0C0342A3 */ jal Matrix_Scale
/* AEB19C 80073FFC 46006386 */ mov.s $f14, $f12
/* AEB1A0 80074000 C7B40068 */ lwc1 $f20, 0x68($sp)
/* AEB1A4 80074004 03B24821 */ addu $t1, $sp, $s2
/* AEB1A8 80074008 3C014F80 */ lui $at, 0x4f80
/* AEB1AC 8007400C 4614C03C */ c.lt.s $f24, $f20
/* AEB1B0 80074010 8FAC01B0 */ lw $t4, 0x1b0($sp)
/* AEB1B4 80074014 8FA40060 */ lw $a0, 0x60($sp)
/* AEB1B8 80074018 3C063F00 */ lui $a2, 0x3f00
/* AEB1BC 8007401C 45000002 */ bc1f .L80074028
/* AEB1C0 80074020 3C073D4C */ li $a3, 0x3D4C0000 # 0.000000
/* AEB1C4 80074024 4600C506 */ mov.s $f20, $f24
.L80074028:
/* AEB1C8 80074028 8D2900F0 */ lw $t1, 0xf0($t1)
/* AEB1CC 8007402C 8FA5015C */ lw $a1, 0x15c($sp)
/* AEB1D0 80074030 34E7CCCD */ ori $a3, (0x3D4CCCCD & 0xFFFF) # ori $a3, $a3, 0xcccd
/* AEB1D4 80074034 44895000 */ mtc1 $t1, $f10
/* AEB1D8 80074038 05210004 */ bgez $t1, .L8007404C
/* AEB1DC 8007403C 468051A0 */ cvt.s.w $f6, $f10
/* AEB1E0 80074040 44812000 */ mtc1 $at, $f4
/* AEB1E4 80074044 00000000 */ nop
/* AEB1E8 80074048 46043180 */ add.s $f6, $f6, $f4
.L8007404C:
/* AEB1EC 8007404C 46143502 */ mul.s $f20, $f6, $f20
/* AEB1F0 80074050 461AA03C */ c.lt.s $f20, $f26
/* AEB1F4 80074054 00000000 */ nop
/* AEB1F8 80074058 45020003 */ bc1fl .L80074068
/* AEB1FC 8007405C 859907B2 */ lh $t9, 0x7b2($t4)
/* AEB200 80074060 4600D506 */ mov.s $f20, $f26
/* AEB204 80074064 859907B2 */ lh $t9, 0x7b2($t4)
.L80074068:
/* AEB208 80074068 240803E4 */ li $t0, 996
/* AEB20C 8007406C 3C014248 */ li $at, 0x42480000 # 0.000000
/* AEB210 80074070 01197823 */ subu $t7, $t0, $t9
/* AEB214 80074074 448F4000 */ mtc1 $t7, $f8
/* AEB218 80074078 44812000 */ mtc1 $at, $f4
/* AEB21C 8007407C 3C018014 */ lui $at, %hi(D_8013C7D0)
/* AEB220 80074080 468042A0 */ cvt.s.w $f10, $f8
/* AEB224 80074084 46045003 */ div.s $f0, $f10, $f4
/* AEB228 80074088 4600C03C */ c.lt.s $f24, $f0
/* AEB22C 8007408C 00000000 */ nop
/* AEB230 80074090 45020003 */ bc1fl .L800740A0
/* AEB234 80074094 4600C181 */ sub.s $f6, $f24, $f0
/* AEB238 80074098 4600C006 */ mov.s $f0, $f24
/* AEB23C 8007409C 4600C181 */ sub.s $f6, $f24, $f0
.L800740A0:
/* AEB240 800740A0 8FAE0064 */ lw $t6, 0x64($sp)
/* AEB244 800740A4 4606A502 */ mul.s $f20, $f20, $f6
/* AEB248 800740A8 15C0000B */ bnez $t6, .L800740D8
/* AEB24C 800740AC 00000000 */ nop
/* AEB250 800740B0 3C018014 */ lui $at, %hi(D_8013C7CC)
/* AEB254 800740B4 C428C7CC */ lwc1 $f8, %lo(D_8013C7CC)($at)
/* AEB258 800740B8 3C073D4C */ lui $a3, (0x3D4CCCCD >> 16) # lui $a3, 0x3d4c
/* AEB25C 800740BC 34E7CCCD */ ori $a3, (0x3D4CCCCD & 0xFFFF) # ori $a3, $a3, 0xcccd
/* AEB260 800740C0 8FA40060 */ lw $a0, 0x60($sp)
/* AEB264 800740C4 3C063F00 */ lui $a2, 0x3f00
/* AEB268 800740C8 0C01E0C4 */ jal Math_SmoothStepToF
/* AEB26C 800740CC E7A80010 */ swc1 $f8, 0x10($sp)
/* AEB270 800740D0 10000006 */ b .L800740EC
/* AEB274 800740D4 8E0702D0 */ lw $a3, 0x2d0($s0)
.L800740D8:
/* AEB278 800740D8 C42AC7D0 */ lwc1 $f10, %lo(D_8013C7D0)($at)
/* AEB27C 800740DC 4405D000 */ mfc1 $a1, $f26
/* AEB280 800740E0 0C01E0C4 */ jal Math_SmoothStepToF
/* AEB284 800740E4 E7AA0010 */ swc1 $f10, 0x10($sp)
/* AEB288 800740E8 8E0702D0 */ lw $a3, 0x2d0($s0)
.L800740EC:
/* AEB28C 800740EC 24ED0008 */ addiu $t5, $a3, 8
/* AEB290 800740F0 AE0D02D0 */ sw $t5, 0x2d0($s0)
/* AEB294 800740F4 0C0251EB */ jal func_800947AC
/* AEB298 800740F8 00E02025 */ move $a0, $a3
/* AEB29C 800740FC AE0202D0 */ sw $v0, 0x2d0($s0)
/* AEB2A0 80074100 244A0008 */ addiu $t2, $v0, 8
/* AEB2A4 80074104 AE0A02D0 */ sw $t2, 0x2d0($s0)
/* AEB2A8 80074108 3C18FA00 */ lui $t8, 0xfa00
/* AEB2AC 8007410C 00115880 */ sll $t3, $s1, 2
/* AEB2B0 80074110 01715823 */ subu $t3, $t3, $s1
/* AEB2B4 80074114 AC580000 */ sw $t8, ($v0)
/* AEB2B8 80074118 00401825 */ move $v1, $v0
/* AEB2BC 8007411C 27A90118 */ addiu $t1, $sp, 0x118
/* AEB2C0 80074120 01691021 */ addu $v0, $t3, $t1
/* AEB2C4 80074124 90480002 */ lbu $t0, 2($v0)
/* AEB2C8 80074128 904E0000 */ lbu $t6, ($v0)
/* AEB2CC 8007412C 904B0001 */ lbu $t3, 1($v0)
/* AEB2D0 80074130 0008CA00 */ sll $t9, $t0, 8
/* AEB2D4 80074134 8FA801B4 */ lw $t0, 0x1b4($sp)
/* AEB2D8 80074138 000E6E00 */ sll $t5, $t6, 0x18
/* AEB2DC 8007413C 240E0001 */ li $t6, 1
/* AEB2E0 80074140 C5040088 */ lwc1 $f4, 0x88($t0)
/* AEB2E4 80074144 000B4C00 */ sll $t1, $t3, 0x10
/* AEB2E8 80074148 032D5025 */ or $t2, $t9, $t5
/* AEB2EC 8007414C 4604A182 */ mul.s $f6, $f20, $f4
/* AEB2F0 80074150 3C0BDA38 */ lui $t3, (0xDA380003 >> 16) # lui $t3, 0xda38
/* AEB2F4 80074154 3C058014 */ lui $a1, %hi(D_8013C5AC) # $a1, 0x8014
/* AEB2F8 80074158 01496025 */ or $t4, $t2, $t1
/* AEB2FC 8007415C 3C014F00 */ li $at, 0x4F000000 # 0.000000
/* AEB300 80074160 24A5C5AC */ addiu $a1, %lo(D_8013C5AC) # addiu $a1, $a1, -0x3a54
/* AEB304 80074164 356B0003 */ ori $t3, (0xDA380003 & 0xFFFF) # ori $t3, $t3, 3
/* AEB308 80074168 444FF800 */ cfc1 $t7, $31
/* AEB30C 8007416C 44CEF800 */ ctc1 $t6, $31
/* AEB310 80074170 02002025 */ move $a0, $s0
/* AEB314 80074174 46003224 */ cvt.w.s $f8, $f6
/* AEB318 80074178 444EF800 */ cfc1 $t6, $31
/* AEB31C 8007417C 00000000 */ nop
/* AEB320 80074180 31CE0078 */ andi $t6, $t6, 0x78
/* AEB324 80074184 51C00013 */ beql $t6, $zero, .L800741D4
/* AEB328 80074188 440E4000 */ mfc1 $t6, $f8
/* AEB32C 8007418C 44814000 */ mtc1 $at, $f8
/* AEB330 80074190 240E0001 */ li $t6, 1
/* AEB334 80074194 46083201 */ sub.s $f8, $f6, $f8
/* AEB338 80074198 44CEF800 */ ctc1 $t6, $31
/* AEB33C 8007419C 00000000 */ nop
/* AEB340 800741A0 46004224 */ cvt.w.s $f8, $f8
/* AEB344 800741A4 444EF800 */ cfc1 $t6, $31
/* AEB348 800741A8 00000000 */ nop
/* AEB34C 800741AC 31CE0078 */ andi $t6, $t6, 0x78
/* AEB350 800741B0 15C00005 */ bnez $t6, .L800741C8
/* AEB354 800741B4 00000000 */ nop
/* AEB358 800741B8 440E4000 */ mfc1 $t6, $f8
/* AEB35C 800741BC 3C018000 */ lui $at, 0x8000
/* AEB360 800741C0 10000007 */ b .L800741E0
/* AEB364 800741C4 01C17025 */ or $t6, $t6, $at
.L800741C8:
/* AEB368 800741C8 10000005 */ b .L800741E0
/* AEB36C 800741CC 240EFFFF */ li $t6, -1
/* AEB370 800741D0 440E4000 */ mfc1 $t6, $f8
.L800741D4:
/* AEB374 800741D4 00000000 */ nop
/* AEB378 800741D8 05C0FFFB */ bltz $t6, .L800741C8
/* AEB37C 800741DC 00000000 */ nop
.L800741E0:
/* AEB380 800741E0 31D900FF */ andi $t9, $t6, 0xff
/* AEB384 800741E4 01996825 */ or $t5, $t4, $t9
/* AEB388 800741E8 AC6D0004 */ sw $t5, 4($v1)
/* AEB38C 800741EC 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB390 800741F0 44CFF800 */ ctc1 $t7, $31
/* AEB394 800741F4 24060A66 */ li $a2, 2662
/* AEB398 800741F8 24F80008 */ addiu $t8, $a3, 8
/* AEB39C 800741FC AE1802D0 */ sw $t8, 0x2d0($s0)
/* AEB3A0 80074200 ACEB0000 */ sw $t3, ($a3)
/* AEB3A4 80074204 0C0346A2 */ jal Matrix_NewMtx
/* AEB3A8 80074208 AFA700AC */ sw $a3, 0xac($sp)
/* AEB3AC 8007420C 8FA300AC */ lw $v1, 0xac($sp)
/* AEB3B0 80074210 3C09FCFF */ lui $t1, (0xFCFF97FF >> 16) # lui $t1, 0xfcff
/* AEB3B4 80074214 3C08FF2D */ lui $t0, (0xFF2DFEFF >> 16) # lui $t0, 0xff2d
/* AEB3B8 80074218 AC620004 */ sw $v0, 4($v1)
/* AEB3BC 8007421C 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB3C0 80074220 3508FEFF */ ori $t0, (0xFF2DFEFF & 0xFFFF) # ori $t0, $t0, 0xfeff
/* AEB3C4 80074224 352997FF */ ori $t1, (0xFCFF97FF & 0xFFFF) # ori $t1, $t1, 0x97ff
/* AEB3C8 80074228 24EA0008 */ addiu $t2, $a3, 8
/* AEB3CC 8007422C AE0A02D0 */ sw $t2, 0x2d0($s0)
/* AEB3D0 80074230 ACE80004 */ sw $t0, 4($a3)
/* AEB3D4 80074234 ACE90000 */ sw $t1, ($a3)
/* AEB3D8 80074238 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB3DC 8007423C 3C0EE300 */ lui $t6, (0xE3001A01 >> 16) # lui $t6, 0xe300
/* AEB3E0 80074240 35CE1A01 */ ori $t6, (0xE3001A01 & 0xFFFF) # ori $t6, $t6, 0x1a01
/* AEB3E4 80074244 24EF0008 */ addiu $t7, $a3, 8
/* AEB3E8 80074248 AE0F02D0 */ sw $t7, 0x2d0($s0)
/* AEB3EC 8007424C 240C0030 */ li $t4, 48
/* AEB3F0 80074250 ACEC0004 */ sw $t4, 4($a3)
/* AEB3F4 80074254 ACEE0000 */ sw $t6, ($a3)
/* AEB3F8 80074258 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB3FC 8007425C 3C0DE300 */ lui $t5, (0xE3001801 >> 16) # lui $t5, 0xe300
/* AEB400 80074260 35AD1801 */ ori $t5, (0xE3001801 & 0xFFFF) # ori $t5, $t5, 0x1801
/* AEB404 80074264 24F90008 */ addiu $t9, $a3, 8
/* AEB408 80074268 AE1902D0 */ sw $t9, 0x2d0($s0)
/* AEB40C 8007426C 241800C0 */ li $t8, 192
/* AEB410 80074270 ACF80004 */ sw $t8, 4($a3)
/* AEB414 80074274 ACED0000 */ sw $t5, ($a3)
/* AEB418 80074278 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB41C 8007427C 3C0ADA38 */ lui $t2, (0xDA380001 >> 16) # lui $t2, 0xda38
/* AEB420 80074280 354A0001 */ ori $t2, (0xDA380001 & 0xFFFF) # ori $t2, $t2, 1
/* AEB424 80074284 24EB0008 */ addiu $t3, $a3, 8
/* AEB428 80074288 AE0B02D0 */ sw $t3, 0x2d0($s0)
/* AEB42C 8007428C ACEA0000 */ sw $t2, ($a3)
/* AEB430 80074290 8FA9005C */ lw $t1, 0x5c($sp)
/* AEB434 80074294 03B21021 */ addu $v0, $sp, $s2
/* AEB438 80074298 26310001 */ addiu $s1, $s1, 1
/* AEB43C 8007429C ACE90004 */ sw $t1, 4($a3)
/* AEB440 800742A0 8C4200C8 */ lw $v0, 0xc8($v0)
/* AEB444 800742A4 00118C00 */ sll $s1, $s1, 0x10
/* AEB448 800742A8 00118C03 */ sra $s1, $s1, 0x10
/* AEB44C 800742AC 10400007 */ beqz $v0, .L800742CC
/* AEB450 800742B0 24010001 */ li $at, 1
/* AEB454 800742B4 10410005 */ beq $v0, $at, .L800742CC
/* AEB458 800742B8 24010002 */ li $at, 2
/* AEB45C 800742BC 1041000C */ beq $v0, $at, .L800742F0
/* AEB460 800742C0 3C030403 */ lui $v1, 0x403
/* AEB464 800742C4 10000012 */ b .L80074310
/* AEB468 800742C8 2A21000A */ slti $at, $s1, 0xa
.L800742CC:
/* AEB46C 800742CC 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB470 800742D0 3C030403 */ lui $v1, %hi(gLensFlareCircleDL) # $v1, 0x403
/* AEB474 800742D4 24637730 */ addiu $v1, %lo(gLensFlareCircleDL) # addiu $v1, $v1, 0x7730
/* AEB478 800742D8 24E80008 */ addiu $t0, $a3, 8
/* AEB47C 800742DC AE0802D0 */ sw $t0, 0x2d0($s0)
/* AEB480 800742E0 3C0FDE00 */ lui $t7, 0xde00
/* AEB484 800742E4 ACEF0000 */ sw $t7, ($a3)
/* AEB488 800742E8 10000008 */ b .L8007430C
/* AEB48C 800742EC ACE30004 */ sw $v1, 4($a3)
.L800742F0:
/* AEB490 800742F0 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB494 800742F4 24637798 */ addiu $v1, $v1, 0x7798
/* AEB498 800742F8 3C0CDE00 */ lui $t4, 0xde00
/* AEB49C 800742FC 24EE0008 */ addiu $t6, $a3, 8
/* AEB4A0 80074300 AE0E02D0 */ sw $t6, 0x2d0($s0)
/* AEB4A4 80074304 ACE30004 */ sw $v1, 4($a3)
/* AEB4A8 80074308 ACEC0000 */ sw $t4, ($a3)
.L8007430C:
/* AEB4AC 8007430C 2A21000A */ slti $at, $s1, 0xa
.L80074310:
/* AEB4B0 80074310 5420FED5 */ bnezl $at, .L80073E68
/* AEB4B4 80074314 C7AC01C0 */ lwc1 $f12, 0x1c0($sp)
/* AEB4B8 80074318 87B101DA */ lh $s1, 0x1da($sp)
/* AEB4BC 8007431C 3C013FC0 */ li $at, 0x3FC00000 # 0.000000
/* AEB4C0 80074320 C7A40164 */ lwc1 $f4, 0x164($sp)
/* AEB4C4 80074324 122000DD */ beqz $s1, .L8007469C
/* AEB4C8 80074328 00000000 */ nop
/* AEB4CC 8007432C 44815000 */ mtc1 $at, $f10
/* AEB4D0 80074330 8FA901B4 */ lw $t1, 0x1b4($sp)
/* AEB4D4 80074334 46045181 */ sub.s $f6, $f10, $f4
/* AEB4D8 80074338 46062581 */ sub.s $f22, $f4, $f6
/* AEB4DC 8007433C 4616D03C */ c.lt.s $f26, $f22
/* AEB4E0 80074340 00000000 */ nop
/* AEB4E4 80074344 450200D5 */ bc1fl .L8007469C
/* AEB4E8 80074348 E53A0084 */ swc1 $f26, 0x84($t1)
/* AEB4EC 8007434C 0C024DF0 */ jal func_800937C0
/* AEB4F0 80074350 8E0402D0 */ lw $a0, 0x2d0($s0)
/* AEB4F4 80074354 AE0202D0 */ sw $v0, 0x2d0($s0)
/* AEB4F8 80074358 C7B40068 */ lwc1 $f20, 0x68($sp)
/* AEB4FC 8007435C 44915000 */ mtc1 $s1, $f10
/* AEB500 80074360 3C09E300 */ lui $t1, (0xE3001A01 >> 16) # lui $t1, 0xe300
/* AEB504 80074364 4614C03C */ c.lt.s $f24, $f20
/* AEB508 80074368 8FB901B0 */ lw $t9, 0x1b0($sp)
/* AEB50C 8007436C 35291A01 */ ori $t1, (0xE3001A01 & 0xFFFF) # ori $t1, $t1, 0x1a01
/* AEB510 80074370 24080030 */ li $t0, 48
/* AEB514 80074374 45000002 */ bc1f .L80074380
/* AEB518 80074378 46805120 */ cvt.s.w $f4, $f10
/* AEB51C 8007437C 4600C506 */ mov.s $f20, $f24
.L80074380:
/* AEB520 80074380 46142502 */ mul.s $f20, $f4, $f20
/* AEB524 80074384 3C063F00 */ lui $a2, 0x3f00
/* AEB528 80074388 461AA03C */ c.lt.s $f20, $f26
/* AEB52C 8007438C 00000000 */ nop
/* AEB530 80074390 45020003 */ bc1fl .L800743A0
/* AEB534 80074394 872D07B2 */ lh $t5, 0x7b2($t9)
/* AEB538 80074398 4600D506 */ mov.s $f20, $f26
/* AEB53C 8007439C 872D07B2 */ lh $t5, 0x7b2($t9)
.L800743A0:
/* AEB540 800743A0 241803E4 */ li $t8, 996
/* AEB544 800743A4 3C014248 */ li $at, 0x42480000 # 0.000000
/* AEB548 800743A8 030D5823 */ subu $t3, $t8, $t5
/* AEB54C 800743AC 448B3000 */ mtc1 $t3, $f6
/* AEB550 800743B0 44815000 */ mtc1 $at, $f10
/* AEB554 800743B4 3C018014 */ lui $at, %hi(D_8013C7D8)
/* AEB558 800743B8 46803220 */ cvt.s.w $f8, $f6
/* AEB55C 800743BC 460A4003 */ div.s $f0, $f8, $f10
/* AEB560 800743C0 4600C03C */ c.lt.s $f24, $f0
/* AEB564 800743C4 00000000 */ nop
/* AEB568 800743C8 45020003 */ bc1fl .L800743D8
/* AEB56C 800743CC 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB570 800743D0 4600C006 */ mov.s $f0, $f24
/* AEB574 800743D4 8E0702D0 */ lw $a3, 0x2d0($s0)
.L800743D8:
/* AEB578 800743D8 3C0EE300 */ lui $t6, (0xE3001801 >> 16) # lui $t6, 0xe300
/* AEB57C 800743DC 4600C101 */ sub.s $f4, $f24, $f0
/* AEB580 800743E0 24EA0008 */ addiu $t2, $a3, 8
/* AEB584 800743E4 AE0A02D0 */ sw $t2, 0x2d0($s0)
/* AEB588 800743E8 ACE80004 */ sw $t0, 4($a3)
/* AEB58C 800743EC ACE90000 */ sw $t1, ($a3)
/* AEB590 800743F0 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB594 800743F4 35CE1801 */ ori $t6, (0xE3001801 & 0xFFFF) # ori $t6, $t6, 0x1801
/* AEB598 800743F8 240C00C0 */ li $t4, 192
/* AEB59C 800743FC 24EF0008 */ addiu $t7, $a3, 8
/* AEB5A0 80074400 AE0F02D0 */ sw $t7, 0x2d0($s0)
/* AEB5A4 80074404 ACEC0004 */ sw $t4, 4($a3)
/* AEB5A8 80074408 ACEE0000 */ sw $t6, ($a3)
/* AEB5AC 8007440C 8FB90064 */ lw $t9, 0x64($sp)
/* AEB5B0 80074410 8FA401B4 */ lw $a0, 0x1b4($sp)
/* AEB5B4 80074414 4604A502 */ mul.s $f20, $f20, $f4
/* AEB5B8 80074418 1720000D */ bnez $t9, .L80074450
/* AEB5BC 8007441C 24840084 */ addiu $a0, $a0, 0x84
/* AEB5C0 80074420 4616A182 */ mul.s $f6, $f20, $f22
/* AEB5C4 80074424 3C018014 */ lui $at, %hi(D_8013C7D4)
/* AEB5C8 80074428 C428C7D4 */ lwc1 $f8, %lo(D_8013C7D4)($at)
/* AEB5CC 8007442C 8FA401B4 */ lw $a0, 0x1b4($sp)
/* AEB5D0 80074430 3C063F00 */ lui $a2, 0x3f00
/* AEB5D4 80074434 3C074248 */ lui $a3, 0x4248
/* AEB5D8 80074438 E7A80010 */ swc1 $f8, 0x10($sp)
/* AEB5DC 8007443C 44053000 */ mfc1 $a1, $f6
/* AEB5E0 80074440 0C01E0C4 */ jal Math_SmoothStepToF
/* AEB5E4 80074444 24840084 */ addiu $a0, $a0, 0x84
/* AEB5E8 80074448 10000007 */ b .L80074468
/* AEB5EC 8007444C 3C0142F0 */ lui $at, 0x42f0
.L80074450:
/* AEB5F0 80074450 C42AC7D8 */ lwc1 $f10, %lo(D_8013C7D8)($at)
/* AEB5F4 80074454 4405D000 */ mfc1 $a1, $f26
/* AEB5F8 80074458 3C074248 */ lui $a3, 0x4248
/* AEB5FC 8007445C 0C01E0C4 */ jal Math_SmoothStepToF
/* AEB600 80074460 E7AA0010 */ swc1 $f10, 0x10($sp)
/* AEB604 80074464 3C0142F0 */ li $at, 0x42F00000 # 0.000000
.L80074468:
/* AEB608 80074468 44813000 */ mtc1 $at, $f6
/* AEB60C 8007446C C7A401D4 */ lwc1 $f4, 0x1d4($sp)
/* AEB610 80074470 3C0DFA00 */ lui $t5, 0xfa00
/* AEB614 80074474 46062003 */ div.s $f0, $f4, $f6
/* AEB618 80074478 461A003C */ c.lt.s $f0, $f26
/* AEB61C 8007447C E7A0013C */ swc1 $f0, 0x13c($sp)
/* AEB620 80074480 45020003 */ bc1fl .L80074490
/* AEB624 80074484 8E0202D0 */ lw $v0, 0x2d0($s0)
/* AEB628 80074488 E7BA013C */ swc1 $f26, 0x13c($sp)
/* AEB62C 8007448C 8E0202D0 */ lw $v0, 0x2d0($s0)
.L80074490:
/* AEB630 80074490 3C014296 */ li $at, 0x42960000 # 0.000000
/* AEB634 80074494 44815000 */ mtc1 $at, $f10
/* AEB638 80074498 24580008 */ addiu $t8, $v0, 8
/* AEB63C 8007449C AE1802D0 */ sw $t8, 0x2d0($s0)
/* AEB640 800744A0 AC4D0000 */ sw $t5, ($v0)
/* AEB644 800744A4 C7A8013C */ lwc1 $f8, 0x13c($sp)
/* AEB648 800744A8 240A0001 */ li $t2, 1
/* AEB64C 800744AC 3C014F00 */ li $at, 0x4F000000 # 0.000000
/* AEB650 800744B0 460A4102 */ mul.s $f4, $f8, $f10
/* AEB654 800744B4 444BF800 */ cfc1 $t3, $31
/* AEB658 800744B8 44CAF800 */ ctc1 $t2, $31
/* AEB65C 800744BC 00000000 */ nop
/* AEB660 800744C0 460021A4 */ cvt.w.s $f6, $f4
/* AEB664 800744C4 444AF800 */ cfc1 $t2, $31
/* AEB668 800744C8 00000000 */ nop
/* AEB66C 800744CC 314A0078 */ andi $t2, $t2, 0x78
/* AEB670 800744D0 51400013 */ beql $t2, $zero, .L80074520
/* AEB674 800744D4 440A3000 */ mfc1 $t2, $f6
/* AEB678 800744D8 44813000 */ mtc1 $at, $f6
/* AEB67C 800744DC 240A0001 */ li $t2, 1
/* AEB680 800744E0 46062181 */ sub.s $f6, $f4, $f6
/* AEB684 800744E4 44CAF800 */ ctc1 $t2, $31
/* AEB688 800744E8 00000000 */ nop
/* AEB68C 800744EC 460031A4 */ cvt.w.s $f6, $f6
/* AEB690 800744F0 444AF800 */ cfc1 $t2, $31
/* AEB694 800744F4 00000000 */ nop
/* AEB698 800744F8 314A0078 */ andi $t2, $t2, 0x78
/* AEB69C 800744FC 15400005 */ bnez $t2, .L80074514
/* AEB6A0 80074500 00000000 */ nop
/* AEB6A4 80074504 440A3000 */ mfc1 $t2, $f6
/* AEB6A8 80074508 3C018000 */ lui $at, 0x8000
/* AEB6AC 8007450C 10000007 */ b .L8007452C
/* AEB6B0 80074510 01415025 */ or $t2, $t2, $at
.L80074514:
/* AEB6B4 80074514 10000005 */ b .L8007452C
/* AEB6B8 80074518 240AFFFF */ li $t2, -1
/* AEB6BC 8007451C 440A3000 */ mfc1 $t2, $f6
.L80074520:
/* AEB6C0 80074520 00000000 */ nop
/* AEB6C4 80074524 0540FFFB */ bltz $t2, .L80074514
/* AEB6C8 80074528 00000000 */ nop
.L8007452C:
/* AEB6CC 8007452C 254800B4 */ addiu $t0, $t2, 0xb4
/* AEB6D0 80074530 310F00FF */ andi $t7, $t0, 0xff
/* AEB6D4 80074534 000F7400 */ sll $t6, $t7, 0x10
/* AEB6D8 80074538 3C01FF00 */ lui $at, 0xff00
/* AEB6DC 8007453C 01C16025 */ or $t4, $t6, $at
/* AEB6E0 80074540 3C01431B */ li $at, 0x431B0000 # 0.000000
/* AEB6E4 80074544 44CBF800 */ ctc1 $t3, $31
/* AEB6E8 80074548 44815000 */ mtc1 $at, $f10
/* AEB6EC 8007454C 24180001 */ li $t8, 1
/* AEB6F0 80074550 3C014F00 */ li $at, 0x4F000000 # 0.000000
/* AEB6F4 80074554 460A4102 */ mul.s $f4, $f8, $f10
/* AEB6F8 80074558 8FAF01B4 */ lw $t7, 0x1b4($sp)
/* AEB6FC 8007455C 4459F800 */ cfc1 $t9, $31
/* AEB700 80074560 44D8F800 */ ctc1 $t8, $31
/* AEB704 80074564 00000000 */ nop
/* AEB708 80074568 460021A4 */ cvt.w.s $f6, $f4
/* AEB70C 8007456C 4458F800 */ cfc1 $t8, $31
/* AEB710 80074570 00000000 */ nop
/* AEB714 80074574 33180078 */ andi $t8, $t8, 0x78
/* AEB718 80074578 53000013 */ beql $t8, $zero, .L800745C8
/* AEB71C 8007457C 44183000 */ mfc1 $t8, $f6
/* AEB720 80074580 44813000 */ mtc1 $at, $f6
/* AEB724 80074584 24180001 */ li $t8, 1
/* AEB728 80074588 46062181 */ sub.s $f6, $f4, $f6
/* AEB72C 8007458C 44D8F800 */ ctc1 $t8, $31
/* AEB730 80074590 00000000 */ nop
/* AEB734 80074594 460031A4 */ cvt.w.s $f6, $f6
/* AEB738 80074598 4458F800 */ cfc1 $t8, $31
/* AEB73C 8007459C 00000000 */ nop
/* AEB740 800745A0 33180078 */ andi $t8, $t8, 0x78
/* AEB744 800745A4 17000005 */ bnez $t8, .L800745BC
/* AEB748 800745A8 00000000 */ nop
/* AEB74C 800745AC 44183000 */ mfc1 $t8, $f6
/* AEB750 800745B0 3C018000 */ lui $at, 0x8000
/* AEB754 800745B4 10000007 */ b .L800745D4
/* AEB758 800745B8 0301C025 */ or $t8, $t8, $at
.L800745BC:
/* AEB75C 800745BC 10000005 */ b .L800745D4
/* AEB760 800745C0 2418FFFF */ li $t8, -1
/* AEB764 800745C4 44183000 */ mfc1 $t8, $f6
.L800745C8:
/* AEB768 800745C8 00000000 */ nop
/* AEB76C 800745CC 0700FFFB */ bltz $t8, .L800745BC
/* AEB770 800745D0 00000000 */ nop
.L800745D4:
/* AEB774 800745D4 44D9F800 */ ctc1 $t9, $31
/* AEB778 800745D8 24190001 */ li $t9, 1
/* AEB77C 800745DC C5E80084 */ lwc1 $f8, 0x84($t7)
/* AEB780 800745E0 270B0064 */ addiu $t3, $t8, 0x64
/* AEB784 800745E4 444EF800 */ cfc1 $t6, $31
/* AEB788 800745E8 44D9F800 */ ctc1 $t9, $31
/* AEB78C 800745EC 316A00FF */ andi $t2, $t3, 0xff
/* AEB790 800745F0 000A4A00 */ sll $t1, $t2, 8
/* AEB794 800745F4 460042A4 */ cvt.w.s $f10, $f8
/* AEB798 800745F8 01894025 */ or $t0, $t4, $t1
/* AEB79C 800745FC 3C014F00 */ lui $at, 0x4f00
/* AEB7A0 80074600 3C0CF64F */ li $t4, 0xF64F0000 # 0.000000
/* AEB7A4 80074604 4459F800 */ cfc1 $t9, $31
/* AEB7A8 80074608 00000000 */ nop
/* AEB7AC 8007460C 33390078 */ andi $t9, $t9, 0x78
/* AEB7B0 80074610 53200013 */ beql $t9, $zero, .L80074660
/* AEB7B4 80074614 44195000 */ mfc1 $t9, $f10
/* AEB7B8 80074618 44815000 */ mtc1 $at, $f10
/* AEB7BC 8007461C 24190001 */ li $t9, 1
/* AEB7C0 80074620 460A4281 */ sub.s $f10, $f8, $f10
/* AEB7C4 80074624 44D9F800 */ ctc1 $t9, $31
/* AEB7C8 80074628 00000000 */ nop
/* AEB7CC 8007462C 460052A4 */ cvt.w.s $f10, $f10
/* AEB7D0 80074630 4459F800 */ cfc1 $t9, $31
/* AEB7D4 80074634 00000000 */ nop
/* AEB7D8 80074638 33390078 */ andi $t9, $t9, 0x78
/* AEB7DC 8007463C 17200005 */ bnez $t9, .L80074654
/* AEB7E0 80074640 00000000 */ nop
/* AEB7E4 80074644 44195000 */ mfc1 $t9, $f10
/* AEB7E8 80074648 3C018000 */ lui $at, 0x8000
/* AEB7EC 8007464C 10000007 */ b .L8007466C
/* AEB7F0 80074650 0321C825 */ or $t9, $t9, $at
.L80074654:
/* AEB7F4 80074654 10000005 */ b .L8007466C
/* AEB7F8 80074658 2419FFFF */ li $t9, -1
/* AEB7FC 8007465C 44195000 */ mfc1 $t9, $f10
.L80074660:
/* AEB800 80074660 00000000 */ nop
/* AEB804 80074664 0720FFFB */ bltz $t9, .L80074654
/* AEB808 80074668 00000000 */ nop
.L8007466C:
/* AEB80C 8007466C 332D00FF */ andi $t5, $t9, 0xff
/* AEB810 80074670 010D5825 */ or $t3, $t0, $t5
/* AEB814 80074674 AC4B0004 */ sw $t3, 4($v0)
/* AEB818 80074678 8E0702D0 */ lw $a3, 0x2d0($s0)
/* AEB81C 8007467C 44CEF800 */ ctc1 $t6, $31
/* AEB820 80074680 358CC3BC */ ori $t4, $t4, 0xc3bc
/* AEB824 80074684 24EA0008 */ addiu $t2, $a3, 8
/* AEB828 80074688 AE0A02D0 */ sw $t2, 0x2d0($s0)
/* AEB82C 8007468C ACE00004 */ sw $zero, 4($a3)
/* AEB830 80074690 10000002 */ b .L8007469C
/* AEB834 80074694 ACEC0000 */ sw $t4, ($a3)
/* AEB838 80074698 E53A0084 */ swc1 $f26, 0x84($t1)
.L8007469C:
/* AEB83C 8007469C 3C068014 */ lui $a2, %hi(D_8013C5BC) # $a2, 0x8014
/* AEB840 800746A0 24C6C5BC */ addiu $a2, %lo(D_8013C5BC) # addiu $a2, $a2, -0x3a44
/* AEB844 800746A4 27A400B4 */ addiu $a0, $sp, 0xb4
/* AEB848 800746A8 02002825 */ move $a1, $s0
/* AEB84C 800746AC 0C031AD5 */ jal Graph_CloseDisps
/* AEB850 800746B0 24070ABE */ li $a3, 2750
/* AEB854 800746B4 8FBF004C */ lw $ra, 0x4c($sp)
/* AEB858 800746B8 D7B40020 */ ldc1 $f20, 0x20($sp)
/* AEB85C 800746BC D7B60028 */ ldc1 $f22, 0x28($sp)
/* AEB860 800746C0 D7B80030 */ ldc1 $f24, 0x30($sp)
/* AEB864 800746C4 D7BA0038 */ ldc1 $f26, 0x38($sp)
/* AEB868 800746C8 8FB00040 */ lw $s0, 0x40($sp)
/* AEB86C 800746CC 8FB10044 */ lw $s1, 0x44($sp)
/* AEB870 800746D0 8FB20048 */ lw $s2, 0x48($sp)
/* AEB874 800746D4 03E00008 */ jr $ra
/* AEB878 800746D8 27BD01B0 */ addiu $sp, $sp, 0x1b0

View File

@ -1357,42 +1357,39 @@ void Environment_DrawSunLensFlare(GlobalContext* globalCtx, EnvironmentContext*
}
}
#ifdef NON_MATCHING
// isOffScreen shouldn't be on the stack
f32 sLensFlareScales[] = { 23.0f, 12.0f, 7.0f, 5.0f, 3.0f, 10.0f, 6.0f, 2.0f, 3.0f, 1.0f };
void Environment_DrawLensFlare(GlobalContext* globalCtx, EnvironmentContext* envCtx, View* view,
GraphicsContext* gfxCtx, Vec3f pos, s32 unused, s16 arg6, f32 arg7, s16 arg8, u8 arg9) {
static f32 lensFlareScales[] = { 23.0f, 12.0f, 7.0f, 5.0f, 3.0f, 10.0f, 6.0f, 2.0f, 3.0f, 1.0f };
s16 i;
f32 tempX;
f32 tempY;
f32 tempZ; // 1A0 //
f32 lookDirX; // 19C
f32 lookDirY; // 198
f32 tempZ;
f32 lookDirX;
f32 lookDirY;
f32 lookDirZ;
f32 tempX2; // 190 //
f32 tempX2;
f32 tempY2;
f32 tempZ2;
f32 posDirX; // 184
f32 posDirY; // 180
f32 posDirZ; // 17C
f32 posDirX;
f32 posDirY;
f32 posDirZ;
f32 length;
f32 dist;
f32 halfPosX; // 170
f32 halfPosY; // 16C
f32 halfPosZ; // 168
f32 cosAngle; // 164
f32 pad160; // 160 //
f32 unk88Target; // 15C
f32 halfPosX;
f32 halfPosY;
f32 halfPosZ;
f32 cosAngle;
f32 pad160;
f32 unk88Target;
u32 isOffScreen = false;
f32 alpha;
f32 scale; // 150 //
Vec3f screenPos; // 144
f32 fogInfluence; // 140 //
f32 temp; // 13C
f32 scale;
Vec3f screenPos;
f32 fogInfluence;
f32 temp;
f32 alphaScale;
Color_RGB8 lensFlareColors[] = {
// 118
{ 155, 205, 255 }, // blue
{ 255, 255, 205 }, // yellow
{ 255, 255, 205 }, // yellow
@ -1405,16 +1402,14 @@ void Environment_DrawLensFlare(GlobalContext* globalCtx, EnvironmentContext* env
{ 255, 155, 235 }, // pink
};
u32 lensFlareAlphas[] = {
// F0
50, 10, 25, 40, 70, 30, 50, 70, 50, 40,
};
u32 lensFlareTypes[] = {
// C8
LENS_FLARE_RING, LENS_FLARE_CIRCLE1, LENS_FLARE_CIRCLE1, LENS_FLARE_CIRCLE1, LENS_FLARE_CIRCLE1,
LENS_FLARE_CIRCLE1, LENS_FLARE_CIRCLE1, LENS_FLARE_CIRCLE1, LENS_FLARE_CIRCLE1, LENS_FLARE_CIRCLE1,
};
OPEN_DISPS(gfxCtx, "../z_kankyo.c", 2516); // C4
OPEN_DISPS(gfxCtx, "../z_kankyo.c", 2516);
dist = Math3D_Vec3f_DistXYZ(&pos, &view->eye) / 12.0f;
@ -1477,7 +1472,7 @@ void Environment_DrawLensFlare(GlobalContext* globalCtx, EnvironmentContext* env
}
Matrix_Translate(-posDirX * i * dist, -posDirY * i * dist, -posDirZ * i * dist, MTXMODE_APPLY);
scale = lensFlareScales[i] * cosAngle;
scale = sLensFlareScales[i] * cosAngle;
if (arg9) {
scale *= 0.001 * (arg6 + 630.0f * temp);
@ -1498,7 +1493,9 @@ void Environment_DrawLensFlare(GlobalContext* globalCtx, EnvironmentContext* env
alpha *= 1.0f - fogInfluence;
if (!isOffScreen) { // 5088
if (1) {}
if (!(isOffScreen ^ 0)) {
Math_SmoothStepToF(&envCtx->unk_88, unk88Target, 0.5f, 0.05f, 0.001f);
} else {
Math_SmoothStepToF(&envCtx->unk_88, 0.0f, 0.5f, 0.05f, 0.001f);
@ -1546,7 +1543,7 @@ void Environment_DrawLensFlare(GlobalContext* globalCtx, EnvironmentContext* env
gDPSetAlphaDither(POLY_XLU_DISP++, G_AD_DISABLE);
gDPSetColorDither(POLY_XLU_DISP++, G_CD_DISABLE);
if (!isOffScreen) {
if (!(isOffScreen ^ 0)) {
Math_SmoothStepToF(&envCtx->unk_84, alpha * alphaScale, 0.5f, 50.0f, 0.1f);
} else {
Math_SmoothStepToF(&envCtx->unk_84, 0.0f, 0.5f, 50.0f, 0.1f);
@ -1556,7 +1553,7 @@ void Environment_DrawLensFlare(GlobalContext* globalCtx, EnvironmentContext* env
temp = CLAMP_MIN(temp, 0.0f);
gDPSetPrimColor(POLY_XLU_DISP++, 0, 0, 255, (u8)(temp * 75.0f) + 180, (u8)(temp * 155.0f) + 100,
envCtx->unk_84);
(u8)envCtx->unk_84);
gDPFillRectangle(POLY_XLU_DISP++, 0, 0, SCREEN_WIDTH - 1, SCREEN_HEIGHT - 1);
} else {
envCtx->unk_84 = 0.0f;
@ -1566,16 +1563,6 @@ void Environment_DrawLensFlare(GlobalContext* globalCtx, EnvironmentContext* env
CLOSE_DISPS(gfxCtx, "../z_kankyo.c", 2750);
}
#else
f32 D_8011FDD8[] = { 23.0f, 12.0f, 7.0f, 5.0f, 3.0f, 10.0f, 6.0f, 2.0f, 3.0f, 1.0f };
Color_RGB8 D_8011FE00[] = {
{ 155, 205, 255 }, { 255, 255, 205 }, { 255, 255, 205 }, { 255, 255, 205 }, { 155, 255, 205 },
{ 205, 255, 255 }, { 155, 155, 255 }, { 205, 175, 255 }, { 175, 255, 205 }, { 255, 155, 235 },
};
u32 D_8011FE20[] = { 0x32, 0xA, 0x19, 0x28, 0x46, 0x1E, 0x32, 0x46, 0x32, 0x28 };
u32 D_8011FE48[] = { 2, 1, 1, 1, 1, 1, 1, 1, 1, 1 };
#pragma GLOBAL_ASM("asm/non_matchings/code/z_kankyo/Environment_DrawLensFlare.s")
#endif
f32 func_800746DC(void) {
return Rand_ZeroOne() - 0.5f;